Динамические параметры конфигурируемого логического блока 


Мы поможем в написании ваших работ!



ЗНАЕТЕ ЛИ ВЫ?

Динамические параметры конфигурируемого логического блока



В табл. 1.2 приведены отдельные динамические характеристики логического блока ПЛИС XCS10-3PC84.

Таблица 1.2

 

Динамические характеристики CLB микросхемы

XCS10-3PC84

Описание Значение, нс
min max
Синхросигналы  
Длительность импульса 4.0  
Длительность паузы 4.0  
Задержки комбинационной логики  
От входов F/G до выходов X/Y (см. рис. 1.2)   1.6
От входов F/G через H-LUT до выходов X/Y (см. рис.1.2)   2.7
Динамические параметры триггера  
Задержка от синхровхода К до выхода Q (см. рис. 1.2)   2.8
Время предварительной установки по входам F/G (см. рис.1. 2) 2.4  
Время предварительной установки по входам F/G через H-LUT (см. рис. 1.2) 3.9  
Время удержания   0.0

 
 

ОР ГАНЫ УП РА ВЛЕНИЯ УНИВЕРСАЛЬНОГО ЛАБО РАТОРНОГО СТЕНДА

В данном практикуме используется только часть оборудования стенда: ПЛИС FPGA XCS10-3PC84, клавишные регистры, генера- торы и индикация. Контакты корпуса ПЛИС уже распаяны на пе- чатной плате лабораторного стенда и выведены на его органы управления. Часть лицевой панели стенда с используемыми орга- нами управления приведена на рис. 1.8.

 
 

Рис. 1.8. Органы управления универсального лабораторного стенда

 

Для задания воздействий на схему, которая предварительно должна быть загружена в ПЛИС XCS10, на стенде имеются:

• два генератора одиночных импульсов — ГОИ1 и ГОИ2. Первый из них может работать в одном из двух режимов — либо в режиме одиночных импульсов, либо в режиме непрерывных им-


пульсов. Выбор режима осуществляется рядом стоящим тумблером

(см. рис. 1.8);

• три клавишных регистра Р 1, Р 2 и Р 3, используемых для за- дания постоянных значений.

Для целей контроля состояния схемы в процессе её отладки на стенде имеются шесть четырехразрядных светодиодных шкал (см. рис. 1.8), которые можно подключать к произвольным точкам схемы. Таким образом, можно одновременно наблюдать состояние схемы в 24 точках.

В системе проектирования органы управления оформлены в ви- де макроэлементов и содержатся в библиотеке проекта с именем

«maket».

 

ПОРЯДОК ВЫПОЛНЕНИЯ РАБОТЫ

А. Ввод и моделирование схемы в системе

Xilinx Foundation

1. Войти в систему и открыть новый проект под своим име- нем.

Примечание. Данный пункт и все последующие выполняются, руководствуясь пособием [2].

2. Выполнить ввод схемы, приведенной на рис. 1.9 (счет-

чик+дешифратор), в редакторе схем.

3. Выполнить функциональное моделирование созданной схе- мы (рис. 1.10).

 
 

Рис. 1.9. Схема соединения счетчика и дешифратора


 

Рис. 1.10. Временная диаграмма работы счетчика и дешифратора

 

Б. Загрузка проекта в ПЛИС и отладка схемы на стенде

1. Выполнить подготовку схемы для размещения на кри- сталле, подсоединив к входам и выходам макроэлементы стенда (рис. 1.11).

2. Выполнить размещение подготовленной схемы на кристал- ле (см. [2]).

3. Выполнить загрузку проекта в ПЛИС (см. [2]).

4. Произвести отладку схемы на лабораторном стенде.

5. Продемонстрировать преподавателю работу схемы на стен- де и на экране виртуального осциллографа.

6. Измерить по указанию преподавателя задержки переклю- чения схемы.


 

Рис. 1.11. Схема с макроэлементами стенда

 

Список литературы

1. Кнышев Д.А., Кузелин М.О. ПЛИС фирмы «XILINX»: опи- сание структуры основных семейств. М.: «Додэка-ХХ1». 2001.

2. Ковригин Б.Н. Введение в инструментальные средства про- ектирования и отладки цифровых устройств на ПЛИС / Учебное пособие. М.: МИФИ. 2006.

3. Кузелин М.О., Кнышев Д.А., Зотов В.Ю. Современные се- мейства ПЛИС фирмы Xilinx. М.: Горячая линия-Телеком. 2004.

4. Мальцев П.П, Гарбузов Н.И., Шарапов А.П., Кнышев Д.А. Программируемые логические ИМС на КМОП-структурах и их применение. М.: Энергоатомиздат. 1998.

5. Тарасов И.Е. Разработка цифровых устройств на основе ПЛИС XILINX с применением языка VHDL. М.: Горячая линия- Телеком. 2005.

6. Угрюмов Е.П. Цифровая схемотехника. СПб.: БХВ. 2000.

7. The Programmable Logic Data Book. Xilinx Inc. 1999.


 

 

Лабораторная работа 2

СИНТЕЗ КОМБИНАЦИОННЫХ СХЕМ

 

 
 

Цель: изучить методы синтеза комбинационных схем на логи- ческих элементах; получить навыки проектирования комбинаци- онных схем на VHDL; овладеть инструментальными средствами проектирования схем на ПЛИС; приобрести опыт эксперимен- тального исследования синтезируемых схем.

ВВЕДЕНИЕ

Схемы, выходное состояние которых однозначно определяется только комбинацией входных сигналов, называют комбинацион- ными. Закон функционирования комбинационной схемы определя- ется системой переключательных (логических) функций:

F 1 = f 1 (x 1, x 2, K, xn),⎫


F 2 = f 2

K


(x 1, x 2


,K, xn


),⎪


 

(2.1)


Fk = f k (x 1, x 2,K, xn).⎪⎭

Синтез комбинационной схемы состоит в построении схемы на основе заданного закона ее функционирования в виде системы пе- реключательных функций или таблиц истинности. При синтезе комбинационной схемы чаще всего необходимо построить схему с использованием минимального числа элементов в заданном эле- ментном базисе, например, на элементах И-НЕ. Задача проектиро- вания комбинационных схем в общем случае не имеет однозначно- го решения.


 
 

ПРОЕКТИРОВАНИЕ ОДНОВЫХОДНОЙ КОМБИНАЦИОННОЙ СХЕМЫ

Проектирование одновыходной комбинационной схемы на эле- ментах И-НЕ состоит из следующих шагов:

· получение минимальной ДНФ переключательной функции;

· представление полученной минимальной формы в базисе Шеффера;

· построение по полученному выражению комбинационной схемы.

Пусть задана функция F 1 от четырех переменных в виде деся- тичных номеров конституент совершенной дизъюнктивной нор- мальной формы, т.е. тех наборов, на которых функция равна еди- нице:

F 1 (x 3, x 2, x 1, x 0) = S(0, 2, 4, 6, 10, 12, 14, 15).

Эту функцию необходимо реализовать на элементах И-НЕ. За- пишем эту функцию в виде логического выражения:

F 1 (x 3, x 2, x 1, x 0) = (x 3 x 2 x 1 x 0) Ú (x 3 x 2 x 1 x 0) Ú (x 3 x 2 x 1 x 0) Ú

3 0
Ú (x x 2 x 1 x) Ú (x 3 x 2 x 1 x 0) Ú (x 3 x 2 x 1 x 0) Ú (x 3 x 2 x 1 x 0) Ú

Ú (x 3 x 2 x 1 x 0).

 

Минимизация функции

Произведем минимизацию заданной функции, используя метод диаграмм Вейча (рис.2.1).

 

 

Рис. 2.1. Минимизация функции при помощи диаграммы Вейча


Получим следующее минимальное выражение функции:

 

F 1мин (x 3, x 2, x 1, x 0) = x 2 x 0 Ú x 1 x 0 Ú x 3 x 0 Ú x 3 x 2 x 1.


 

 

(2.2)


 



Поделиться:


Последнее изменение этой страницы: 2016-12-27; просмотров: 174; Нарушение авторского права страницы; Мы поможем в написании вашей работы!

infopedia.su Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. Обратная связь - 18.219.22.169 (0.024 с.)