Глава 8. Цифровые схемы последовательностного типа 
";


Мы поможем в написании ваших работ!



ЗНАЕТЕ ЛИ ВЫ?

Глава 8. Цифровые схемы последовательностного типа



Последовательностные устройства — это цифровые устройства с памятью. В них выходной сигнал определяется не только текущим состоянием входа, но и рядом предыдущих значений. Обычно в состав последовательностных устройств входят комбинационные устройства и запоминающие ячейки.

Последовательностные устройства позволяют изменять свое состояние в определенные, строго фиксированные моменты времени. Это позволяет учитывать времена задержки прохождения цифровых сигналов через комбинационные цифровые устройства. При этом обычно определяется наибольшее время распространения и изменение состояния цифрового устройства производится с периодом, большим или равным этому времени.

Простейшее последовательностное устройство — это триггер. Его особенностью является способность бесконечно долго находится в одном из двух устойчивых состояний. Приняв одно состояние за ноль, другое за единицу, можно считать, что триггер хранит один бит информации.

Триггеры

Триггеры предназначены для запоминания двоичной информации. Использование триггеров позволяет реализовывать устройства оперативной памяти (то есть памяти, информация в которой хранится только на время вычислений). Однако триггеры могут использоваться и для построения некоторых цифровых устройств с памятью, таких как счетчики, преобразователи последовательного кода в параллельный или цифровые линии задержки.

Простейшая схема, позволяющая запоминать двоичную информацию, может быть построена на двух инверторах, охваченных положительной обратной связью. Эта схема приведена на рис. 8.1.

Рис. 8.1. Схема простейшего триггера, построенного на инверторах

Триггер может находиться только в двух устойчивых состояниях — на выходе Q присутствует логическая единица и на выходе Q присутствует логический ноль. Если логическая единица присутствует на выходе Q, то на инверсном выходе появится логический ноль, который после очередного инвертирования подтверждает уровень логической единицы на выходе Q. И наоборот, если на выходе Q присутствует логический ноль, то на инверсном выходе будет присутствовать логическая единица.

Может возникнуть вопрос: в каком же состоянии будет такой триггер при включении питания. Это зависит от многих факторов, таких как конструктивная емкость, подключенная к входу инверторов, распределение напряжения по шине питания, влияния внутренних шумов, и т.д. В результате воздействия всех этих факторов триггер при включении питания может оказаться как в нулевом, так и в единичном состоянии.

Состояние триггера будет сохраняться до тех пор, пока на схему подано напряжение питания. Но вот вопрос — а как записывать в такой триггер первоначальное значение? Нам потребуются входы записи нуля и записи единицы.

RS-триггеры

RS-триггер получил название по имени своих входов. Вход S (Set — установить англ.) позволяет устанавливать выход триггера Q в единичное состояние. Вход R (Reset — сбросить англ.) позволяет сбрасывать выход триггера Q (Quit — выход англ.) в нулевое состояние.

Для реализации RS‑триггера воспользуемся логическими элементами "2И‑НЕ". Его принципиальная схема приведена на рис. 8.2.

Рис. 8.2. Схема RS‑триггера, построенного на схемах "И". Входы R и S инверсные (активный уровень "0")

Рассмотрим работу изображенной на рис. 8.2 схемы подробнее. Пусть на входы R и S подаются единичные потенциалы. Если на выходе верхнего логического элемента "2И‑НЕ" Q присутствует логический ноль, то на выходе нижнего логического элемента "2И‑НЕ" появится логическая единица. Эта единица подтвердит логический ноль на выходе Q. Если на выходе верхнего логического элемента "2И‑НЕ" Q первоначально присутствует логическая единица, то на выходе нижнего логического элемента "2И‑НЕ" появится логический ноль. Этот ноль подтвердит логическую единицу на выходе Q, то есть при единичных входных уровнях схема RS‑триггера работает точно так же, как и схема триггера, выполненная на инверторах (см. рис. 8.1).

Подадим на вход S нулевой потенциал. Согласно таблице истинности логического элемента "2И-НЕ" на выходе Q появится единичный потенциал. Это приведет к появлению на инверсном выходе триггера нулевого потенциала. Теперь, даже если снять нулевой потенциал с входа S, на выходе триггера останется единичный потенциал, а значит, мы записали в триггер логическую единицу.

Точно так же можно записать в триггер и логический ноль. Для этого следует воспользоваться входом R. Так как активный уровень на рассмотренных входах триггера оказался нулевым, то эти входы — инверсные. Составим таблицу истинности RS‑триггера. Входы R и S в этой таблице будем использовать прямые, то есть запись нуля, и запись единицы будут осуществляться единичными потенциалами (табл. 8.1).

Таблица 8.1. Таблица истинности RS‑триггера

R S Q(t) Q(t+1) Пояснения
        Режим хранения информации R=S=0
       
        Режим установки единицы S=1
       
        Режим записи нуля R=1
       
      * R=S=1 запрещенная комбинация
      *

 

RS-триггер можно построить и на логических элементах "2ИЛИ‑НЕ". Схема RS‑триггера, построенного на логических элементах "2ИЛИ‑НЕ" приведена на рис. 8.3. Единственное отличие в работе этой схемы по сравнению со схемой, рассмотренной ранее, будет заключаться в том, что сброс и установка триггера производятся единичными логическими уровнями в полном соответствии с таблицей истинности RS триггера, приведенной в табл. 8.1. Эти особенности связаны с принципами работы инверсной логики, которые рассматривались в предыдущих главах.

Рис. 8.3. Схема простейшего триггера на схемах "2ИЛИ‑НЕ". Входы R и S прямые (активный уровень "1")

Так как RS‑триггер при его реализации как на логических элементах "2И‑НЕ", так и на элементах "2ИЛИ‑НЕ" работает одинаково, то его условно-графическое изображение на принципиальных схемах тоже одинаково. Условно-графическое изображение RS‑триггера на принципиальных схемах приведено на рис. 8.4.

Рис. 8.4. Условно-графическое обозначение RS‑триггера

Синхронные RS‑триггеры

Схема RS‑триггера позволяет запоминать состояние логической схемы, но так как при изменении входных сигналов может возникать переходный процесс (в цифровых схемах этот процесс называется опасные гонки), то запоминать состояния логической схемы нужно строго в определенные моменты времени, когда все переходные процессы закончены, и сигнал на выходе комбинационной схемы соответствует выполняемой ею функции. Это означает, что большинство цифровых схем требуют сигнала синхронизации (тактового сигнала). Все переходные процессы в комбинационной логической схеме должны закончиться за время периода синхросигнала, подаваемого на входы триггеров.

Триггеры, запоминающие входные сигналы только в момент времени, определяемый сигналом синхронизации, называются синхронными. Для того чтобы отличать от них рассмотренные ранее варианты: RS‑триггер и триггер Шмитта, эти триггеры получили название асинхронных.

Формирование синхронизирующих сигналов с различной частотой и скважностью при помощи генераторов и одновибраторов было рассмотрено в предыдущих главах. Теперь покажем, как управлять работой триггеров с помощью разрешающих (синхронизирующих) сигналов. Для этого нам потребуется схема, пропускающая входные сигналы только при наличии синхронизирующего сигнала. Такую схему мы уже использовали при построении схем мультиплексоров и демультиплексоров. Это логический элемент "2И". Триггеры, записывающие сигналы только при наличии синхронизирующего сигнала называются синхронными. Принципиальная схема синхронного RS триггера, построенного на элементах "2И‑НЕ", приведена на рис. 8.5.

Рис.8.5. Схема синхронного RS‑триггера

В табл. 8.2 приведена таблица истинности синхронного RS‑триггера. В этой таблице символ X означает, что значения логических уровней на данном входе не важны. Они не влияют на работу триггера.

Таблица 8.2. Таблица истинности синхронного RS‑триггера

С R S Q(t) Q(t+1) Пояснения
  X X     Режим хранения информации
  X X    
          Режим хранения информации
         
          Режим установки единицы S=1
         
          Режим записи нуля R=1
         
        * R=S=1 запрещенная комбинация
        *

 

Как уже отмечалось в предыдущей главе, RS триггеры могут быть реализованы на различных видах логических элементов. При этом логика работы триггера не изменяется. RS-триггеры часто выпускаются в виде готовых микросхем (или реализуются внутри БИС в виде готовых модулей), поэтому на принципиальных схемах синхронные триггеры обычно изображаются в виде условно-графических обозначений. Условно-графическое обозначение синхронного RS‑триггера приведено на рис. 8.6.

Рис.8.6. Условно-графическое обозначение синхронного RS‑триггера

Статические D-тригеры

В RS‑триггерах для записи логического нуля и логической единицы требуются разные входы, что не всегда удобно. При записи и хранении данных один бит может принимать значение, как нуля, так и единицы. Для передачи и приема бита достаточно одного проводника. Как мы уже видели ранее, сигналы установки и сброса триггера не могут появляться одновременно, поэтому можно объединить эти входы в один при помощи инвертора, как это показано на рис. 8.7.

Рис. 8.7. Схема D‑триггера

Такой триггер получил название D‑триггер (защелка). Название происходит от английского слова delay — задержка. Конкретное значение времени задержки определяется частотой следования импульсов синхронизации. Условно-графическое обозначение D‑триггера на принципиальных схемах приведено на рис. 8.8.

Рис. 8.8. Условно-графическое обозначение D‑триггера

Таблица истинности D‑триггера достаточно проста, она приведена в табл. 8.3. Как видно из этой таблицы, D‑триггер способен запоминать по сигналу синхронизации и хранить один бит дискретной информации.

Таблица 8.3. Таблица истинности D‑триггера

С D Q(t) Q(t+1) Пояснения
  x     Режим хранения информации
  x    
    x   Режим записи информации
    x  

 

Следует отметить, что отдельный инвертор при реализации триггера на логических ТТЛ элементах обычно не используется, так как самый распространенный элемент ТТЛ логики — это элемент "2И‑НЕ". Принципиальная схема D‑триггера на элементах "2И‑НЕ" приведена на рис. 8.9.

Рис. 8.9. Схема D‑триггера, реализованная на ТТЛ элементах

Еще проще реализуется D‑триггер на КМОП логических элементах. В КМОП микросхемах вместо логических элементов "И" используются обычные транзисторные ключи. Схема D‑триггера, выполненная по КМОП технологии приведена на рис. 8.10.

Рис. 8.10. Схема D‑триггера, реализованная на КМОП элементах

При подаче высокого уровня синхросигнала на вход C транзистор VT1 открывается и обеспечивает передачу сигнала с входа D на инверсный выход Q через инвертор D1. Транзистор VT2 при этом закрыт и отключает второй инвертор, собранный на транзисторах VT2 и VT3. При подаче низкого потенциала на вход C включается второй инвертор, который вместе с инвертором D1 и образует триггер.

Во всех рассмотренных схемах синхронных триггеров синхросигнал работает по уровню, поэтому рассмотренные триггеры называются триггерами, работающими по уровню. Еще одно название таких триггеров, пришедшее в отечественную литературу из зарубежной — триггеры-защелки. Легче всего объяснить происхождение этого названия по временным диаграммам входных и выходного сигналов D‑триггера, приведенным на рис. 8.11.

Рис. 8.11. Временные диаграммы сигналов D триггера

По этим временным диаграммам видно, что триггер-защелка хранит данные на выходе только при нулевом потенциале на входе синхронизации. Если же на вход синхронизации подать высокий уровень, то напряжение на выходе триггера будет повторять напряжение, подаваемое на вход этого триггера. Входное напряжение запоминается в триггере только в момент изменения уровня напряжения на входе синхронизации C с высокого уровня на низкий. Входные данные как бы "защелкиваются" в этот момент, отсюда и название — триггер-защелка. Принципиально в этой схеме входной переходной процесс может беспрепятственно проходить на выход триггера. Поэтому там, где это важно, необходимо сокращать длительность импульса синхронизации до минимума при помощи одновибраторов, схемы которых мы рассматривали ранее. Чтобы преодолеть такое ограничение на длительность сигнала синхронизации были разработаны триггеры, работающие по фронту.

Явление метастабильности

До сих пор предполагалось, что сигнал на входе триггера может принимать только два состояния: логический ноль и логическая единица. Однако синхроимпульс может прийти в любой момент времени, в том числе и в момент смены состояния сигнала на информационном входе триггера. Если синхросигнал попадет точно на момент перехода входным сигналом порогового уровня, то триггер на некоторое время может попасть в неустойчивое метастабильное состояние, при котором напряжение на его выходе будет находиться между уровнем логического нуля и логической единицы. Это может привести к нарушению правильной работы цифрового устройства.

Состояние метастабильности триггера подобно неустойчивому состоянию шарика, находящегося на вершине конического холма. Такая ситуация иллюстрируется рис. 8.12. Обычно триггер не может долго находиться в состоянии метастабильности и быстро возвращается в одно из стабильных состояний. Время нахождения в метастабильном состоянии зависит от уровня шумов схемы и использованной технологии изготовления микросхем.

Рис. 8.12. Иллюстрация явления метастабильности

Временные параметры триггера в момент возникновения состояния метастабильности и выхода из этого состояния приведены на рис. 8.13. Время tSU (register setup time or tSU) на этом рисунке это минимальное время перед синхроимпульсом, в течение которого логический уровень сигнала должен оставаться стабильным для того, чтобы избежать метастабильности выхода триггера. Время tH (register hold time or tH) это минимально необходимое время удержания стабильного сигнала на входе триггера для того, чтобы избежать метастабильности его выхода. Время состояния метатастабильности случайно и зависит от многих параметров. На рис. 8.13 оно обозначено tMET.

Рис. 8.13. Иллюстрация явления метастабильности

Вероятность того, что время метастабильности превысит заданную величину, экспоненциально уменьшается с ростом времени, в течение которого выход триггера находится в метастабильном состояние:

,

где t — это коэффициент обратно пропорциональный коэффициенту усиления и полосе пропускания элементов, входящих в состав триггера.

Склонность триггеров к метастабильности обычно оценивается величиной, обратной скорости отказов. Это значение выражается как интервал времени между отказами. Его можно определить по формуле:

,

где t0 = tSUtH;

fс — тактовая частота;

fd — частота с которой меняются входные данные.

Для оценки этой величины, приведем пример таблицы для двух микросхем. Последняя строчка этой таблицы эквивалентна времени метастабильности tMET = 5 нс.

Таблица 8.4. Сравнительные характеристики КМОП и Bi-КМОП триггеров

Условия измерения SN74ACT SN74ABT
fc = 33МГц, fd = 8МГц 8400 лет 8.1´109 лет
fc = 40МГц, fd = 10МГц 92 дня 1400 лет
fc = 50МГц, fd = 12МГц - 2 часа

 

Метастабильное состояние не всегда приводит к неправильной работе цифрового устройства. Если время ожидания устройства после прихода импульса синхронизации достаточно велико, то триггер может успеть перейти в устойчивое состояние, и это будет не заметно. Это означает, что если заранее учитывать время метастабильности tmet, то метастабильность никак не скажется на работе остальной цифровой схемы. Если же это время будет неприемлемым для работы схемы, то можно последовательно включить два триггера, как это показано на рис 8.14. Такое решение снизит вероятность возникновения метастабильного состояния.

Рис. 8.14. Схема снижения вероятности возникновения метастабильного состояния

Приведем еще один пример. Проведем сравнение MBTF для тех же микросхем, что и в предыдущем примере. Время метастабильности tMET = 5 нс для 50 МГц, tMET = 5 нс для 67 МГц, tMET = 5 нс для 80 МГц.

Таблица 8.5. Сравнительные характеристики КМОП и Bi-КМОП триггеров

Условия измерения SN74ACT SN74ABT
fc = 33МГц, fd = 8МГц 2.62´1028 лет 4.77´1047 лет
fc = 40МГц, fd = 10МГц 3,56´1019 дня 2.18´1034 лет
fc = 50МГц, fd = 12МГц 4.9´1010 1´1021 лет
fc = 67МГц, fd = 16МГц 417 лет 1.28´109 лет
fc = 80МГц, fd = 20МГц   2900 лет

Динамические D-триггеры

Фронт сигнала синхронизации, в отличие от высокого (или низкого) потенциала, не может длиться продолжительное время. В идеале длительность фронта равна нулю, поэтому в триггере, запоминающем входную информацию по фронту, не нужно предъявлять требования к длительности тактового сигнала.

Триггер, запоминающий входную информацию по фронту синхронизирующего сигнала, может быть построен из двух триггеров, работающих по потенциалу. Сигнал синхронизации будем подавать на эти триггеры в противофазе. Для формирования такого синхронизирующего сигнала воспользуемся инвертором. Принципиальная схема двухтактного триггера приведена на рис. 8.15.

Рис. 8.15. Схема динамического D‑триггера

Рассмотрим работу схемы динамического триггера подробнее. Для этого воспользуемся временными диаграммами, приведенными на рис. 8.17. На этих временных диаграммах обозначение Q΄ соответствует сигналу на выходе первого триггера. Так как на вход синхронизации триггеров тактовый сигнал поступает через инвертор, то когда первый триггер находится в режиме хранения, второй триггер пропускает сигнал на выход схемы. И наоборот, когда первый триггер пропускает сигнал с входа схемы на свой выход, второй триггер находится в режиме хранения.

Обратите внимание, что сигнал на выходе всей схемы в целом в режиме хранения не зависит от сигнала на входе D. Если первый триггер пропускает сигнал данных со своего входа на выход, то второй триггер в это время находится в режиме хранения и поддерживает на выходе предыдущее значение сигнала, то есть сигнал на выходе схемы тоже не может измениться.

Из приведенного выше анализа видно, что сигнал в схеме, приведенной на рис. 8.15, запоминается в момент изменения сигнала на синхронизирующем входе C с единичного потенциала на нулевой.

Динамические D‑триггеры выпускаются в виде готовых микросхем или входят в виде готовых блоков в составе больших интегральных схем, таких как базовый матричный кристалл (БМК) или программируемых логических интегральных схем (ПЛИС). Условно-графическое обозначение динамического D‑триггера, запоминающего информацию по фронту тактового сигнала, приведено на рис. 8.16.

Рис. 8.16. Условно-графическое обозначение D‑триггера

Рис. 8.17. Временные диаграммы D‑триггера

То, что триггер запоминает входной сигнал по фронту, отображается на условно-графическом обозначении треугольником, изображенным на выводе входа синхронизации. То, что внутри этого триггера находится два триггера, отображается двойной буквой T в среднем поле условно-графического изображения. Иногда при изображении динамического входа указывают, по какому фронту триггер изменяет свое состояние. В этом случае используется обозначение входа синхронизации, как это показано на рис. 8.18.

Рис. 8.18. Обозначение динамических входов

На рис. 8.18 а обозначен динамический вход, работающий по переднему (нарастающему) фронту сигнала. На рис. 8.18 б обозначен динамический вход, работающий по заднему (спадающему) фронту сигнала. Промышленностью выпускаются готовые микросхемы, содержащие динамические триггеры. В качестве примера можно назвать микросхему 1533ТМ2. В этой микросхеме содержится сразу два динамических триггера. Они изменяют свое состояние по переднему фронту сигнала синхронизации.

Т-триггер

Т-триггер — это счетный триггер, у которого имеется только один вход. После поступления на этот вход одиночного импульса, состояние Т‑триггера меняется на прямо противоположное. Счетным T‑триггер называется потому, что он как бы подсчитывает количество импульсов, поступивших на его вход. Жаль только, что считать этот триггер умеет только до единицы. При поступлении на его вход второго импульса T‑триггер снова сбрасывается в исходное состояние.

Т-триггеры строятся только на базе двухступенчатых триггеров, подобных рассмотренному ранее D‑триггеру. Использование двух триггеров позволяет избежать самовозбуждения схемы, так как счетные триггеры строятся при помощи схем с обратной связью.

Т-триггер можно синтезировать из любого двухступенчатого триггера. Рассмотрим пример синтеза Т-триггера из динамического D‑триггера. Для того, чтобы превратить D‑триггер в счетный Т-триггер, необходимо ввести цепь обратной связи с инверсного выхода D‑триггера на его вход данных D, как это показано на рис. 8.19.

Рис. 8.19. Схема T‑триггера, построенная на основе D‑триггера

Временная диаграмма T‑триггера приведена на рис. 8.20. При построении этой временной диаграммы был использован D‑триггер, работающий по заднему фронту синхронизирующего сигнала.

Рис. 8.20. Временные диаграммы T‑триггера

Т‑триггеры используются при построении схем различных счетчиков, поэтому в составе программируемых БИС обычно есть готовые модули этих триггеров. Условно-графическое обозначение T‑триггера на принципиальных схемах приведено на рис. 8.20.

Рис. 8.21. Условно-графическое обозначение T‑триггера

Так как T‑триггеры легко получить из D‑триггера или JK‑триггера, который будет рассмотрен в следующем разделе, то отдельные микросхемы T‑триггеров промышленностью не выпускаются.

JK‑триггер

Прежде чем начать изучение JK‑триггера, вспомним принципы работы RS‑триггера. Напомним, что в этом триггере есть запрещенные комбинации входных сигналов. Одновременная подача единичных сигналов на входы сброса R и установки единицы S RS‑триггера запрещена. JK‑триггер устраняет этот недостаток.

Таблица истинности JK‑триггера практически совпадает с таблицей истинности синхронного RS‑триггера. Для того чтобы исключить запрещенное состояние, схема триггера изменена таким образом, что при подаче двух единиц JK‑триггер превращается в счетный триггер. Это означает, что при подаче на тактовый вход C импульсов JK‑триггер изменяет свое состояние на противоположное. Таблица истинности JK‑триггера приведена в табл. 8.6.

Таблица 8.6. Таблица истинности JK‑триггера

С K J Q(t) Q(t+1) Пояснения
  x x     Режим хранения информации
  x x    
          Режим хранения информации
         
          Режим установки единицы J=1
         
          Режим записи нуля K=1
         
          R=S=1 запрещенная комбинация
         

 

Один из вариантов внутренней схемы JK-триггера приведен на рис. 8.22.

Рис. 8.22. Внутренняя схема JK‑триггера

Для реализации счетного режима в схеме, приведенной на рис. 8.22, введена перекрестная обратная связь с выходов второго триггера на входы R и S первого триггера. Благодаря этой обратной связи на входах R и S никогда не может возникнуть запрещенная комбинация.

Приводить временные диаграммы работы JK‑триггера не имеет смысла, так как они совпадают с приведенными ранее диаграммами RS‑ и T‑триггера. Условно-графическое обозначение JK‑триггера изображено на рис. 8.23.

Рис.8.23. Условно-графическое обозначение JK‑триггера

На этом рисунке приведено обозначение типовой цифровой микросхемы JK-триггера, выполненной по ТТЛ технологии. В промышленно выпускающихся микросхемах обычно кроме входов JK‑триггера реализуются входы RS‑триггера, которые позволяют устанавливать триггер в заранее определенное исходное состояние.

В названиях отечественных микросхем для обозначения JK-триггера присутствуют буквы ТВ. Например, микросхема К1554ТВ9 содержит в одном корпусе два JK-триггера. В качестве примеров иностранных микросхем, содержащих JK-триггеры можно назвать такие микросхемы, как 74HCT73 или 74ACT109.

Так как JK‑триггер является универсальной схемой, то рассмотрим несколько примеров использования этого триггера. Начнем с примера использования JK‑триггера в качестве обнаружителя коротких импульсов.

Рис. 8.24. Схема обнаружения короткого импульса

В данной схеме при поступлении на вход "C" импульса триггер переходит в единичное состояние, которое затем может быть обнаружено последующей схемой (например, микропроцессором). Для того, чтобы привести схему в исходное состояние, необходимо подать на вход R уровень логического нуля.

Теперь рассмотрим пример построения на JK‑триггере ждущего мультивибратора. Один из вариантов подобной схемы приведен на рис. 8.25.

Рис. 8.25. Схема ждущего мультивибратора

Схема работает подобно предыдущей схеме. Длительность выходного импульса определяется постоянной времени RC цепочки. Диод VD1 предназначен для быстрого восстановления исходного состояния схемы (разряда емкости C). Если быстрое восстановление схемы не требуется, например, когда длительность выходных импульсов гарантированно меньше половины периода следования входных импульсов, то диод VD1 можно исключить из схемы ждущего мультивибратора.

В качестве последнего примера применения универсального JK‑триггера, рассмотрим схему счетного T‑триггера. Схема счетного триггера приведена на рис. 8.26.

Рис. 8.26. Схема счетного триггера, построенного на JK‑триггере

В схеме, приведенной на рис. 8.26, для реализации счетного режима работы триггера на входы J и K подаются уровни логической единицы.

Регистры

Регистром называется последовательное или параллельное соединение нескольких триггеров. Регистры обычно строятся на основе D‑триггеров. При этом для построения регистров могут использоваться как динамические, так и статические D‑триггеры (триггеры-защелки). Количество триггеров в составе регистра определяет его разрядность. В качестве отдельных микросхем обычно используются четырех- или восьмиразрядные триггеры.

Параллельные регистры

Название параллельного регистра связано с тем, что входы и выходы всех триггеров в этой схеме используются независимо. Входы синхронизации всех триггеров соединены параллельно. Это приводит к тому, что информация в них записывается одновременно.

Параллельный регистр используется для одновременного запоминания многоразрядных двоичных (или недвоичных) чисел (ведь не будем же мы записывать отдельные разряды одного и того же числа записывать в различные моменты времени).

Количество триггеров, входящих в состав параллельного регистра определяет его разрядность. Принципиальная схема четырехразрядного параллельного регистра приведена на рис. 8.27, а его условно-графическое обозначение — на рис. 8.28. В условно-графическом обозначении возле каждого входа D указывается степень двоичного разряда, который должен быть запомнен в этом триггере (разряде) регистра. Точно таким же образом обозначаются и выходы регистра. То, что микросхема является регистром, указывается в центральном поле условно-графического обозначения символами RG.

Рис. 8.27. Схема параллельного регистра

В приведенном на рис. 8.28 условно-графическом обозначении параллельного регистра инверсные выходы триггеров не показаны. В микросхемах регистров инверсные выходы триггеров часто не выводятся наружу для экономии количества выводов корпуса.

Рис. 8.28. Условно-графическое обозначение параллельного регистра

При записи информации в параллельный регистр все биты (двоичные разряды) должны быть записаны одновременно. Поэтому все тактовые входы триггеров, входящих в состав регистра, объединяются параллельно. Для уменьшения входного тока вывода синхронизации C на этом входе в качестве усилителя часто используется инвертор.

Следует отметить, что назначение разрядов в параллельном регистре является условным. Если по каким либо причинам (например, с точки зрения разводки печатной платы) удобно изменить нумерацию разрядов, то это можно свободно осуществить. При перенумерации входов регистров нужно не забывать, точно таким же образом, изменить номера выходов параллельного регистра.

Для реализации параллельного регистра можно использовать как триггеры со статическим входом синхронизации, так и с динамическим. В переводной литературе при использовании для построения параллельного регистра триггеров-защелок (триггеров со статическим входом синхронизации) этот регистр, в свою очередь, называют регистром-защелкой.

При использовании регистров со статическим входом тактирования удается достичь максимального быстродействия цифровой схемы, однако при этом следует соблюдать осторожность, так как при воздействии на вход синхронизации C единичного потенциала логические сигналы с входов такого регистра будут свободно проходить на его выходы. При использовании статических регистров в схеме обычно используется двухтактная синхронизация, подобная рассмотренной в главе, посвященной одновибраторам.

Промышленностью выпускаются четырехразрядные и восьмиразрядные микросхемы параллельных регистров. Для построения восьмиразрядных микросхем обычно используются регистры со статическим входом синхронизации. В качестве примера восьмиразрядных параллельных статических регистров можно назвать такие микросхемы, как К580ИР22 и 1533ИР33 (иностранный аналог 74ACT573).

При решении практических задач часто требуется разрядность параллельных регистров, большая восьми. В таком случае можно увеличивать разрядность регистров параллельным соединением готовых микросхем. Принципиальная схема параллельного соединения четырех регистров приведена на рис. 8.29.

Рис.8.29. Увеличение разрядности параллельного регистра

В схеме, приведенной на рис. 8.29, реализован 24-х разрядный параллельный регистр. При необходимости, входной ток, потребляемый этой схемой по входу тактовой синхронизации, может быть уменьшен при помощи усилителя. В качестве усилителя сигнала тактовой синхронизации можно применить обыкновенный инвертор.

Последовательные регистры

Кроме параллельного соединения триггеров для построения регистров используются последовательное соединение этих элементов. Схемы, в которых триггеры соединены последовательно, называются последовательными регистрами.

Последовательный регистр (регистр сдвига) обычно служит для преобразования последовательного кода в параллельный и наоборот. Применение последовательного кода связано с необходимостью передачи большого количества двоичной информации по ограниченному количеству соединительных линий. При параллельной передаче разрядов требуется большое количество соединительных проводников. Если двоичные разряды последовательно бит за битом передавать по одному проводнику, то можно значительно сократить размеры соединительных линий на плате (и размеры корпусов микросхем).

Принципиальная схема последовательного регистра, собранного на основе D‑триггеров и позволяющего осуществить преобразование последовательного кода в параллельный, приведена на рис. 8.30.

Рис. 8.30. Схема последовательного регистра

В этом регистре выход первого триггера соединен с входом второго, выход второго триггера соединен с входом третьего и т.д. Условно-графическое изображение рассмотренного последовательного регистра приведено на рис. 8.31.

Рис. 8.31. Условно-графическое обозначение последовательного регистра на принципиальных схемах

Входы синхронизации в последовательных регистрах, как и в параллельных, объединяются. Это обеспечивает одновремен



Поделиться:


Последнее изменение этой страницы: 2017-02-07; просмотров: 539; Нарушение авторского права страницы; Мы поможем в написании вашей работы!

infopedia.su Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. Обратная связь - 3.145.119.199 (0.005 с.)