Лабораторная работа №6. Запоминающие устройства 


Мы поможем в написании ваших работ!



ЗНАЕТЕ ЛИ ВЫ?

Лабораторная работа №6. Запоминающие устройства



Цель работы: изучить временные диаграммы работы запоминающих устройств, построенных по различным схемам.

Порядок выполнения работы

1 Изучите до начала выполнения лабораторной работы методики построения оперативных и постоянных запоминающих устройств.

2 Изучите методику создания при помощи утилиты MegaWizard Plug-in Manager компонентов и их использования в САПР Quartus II.

3 Соберите схему для исследования постоянного запоминающего устройства в САПР Quartus II согласно варианта задания, приведенного в таблице 8.17.

Для создания постоянного запоминающего устройства в САПР Quartus II при помощи утилиты MegaWizard Plug-in Manager следует выполнить такие операции:

– создайте новый проект;

– выберите в качестве элементной базы для реализации любую из микросхем ПЛИС, обязательно принадлежащих только семейству Cyclone (например, EP1C3T100A8);

– создайте новый Memory Initialization File (выберите необходимый пункт из меню File -> New);

– задайте необходимые значения для запрашиваемых параметров (например: Word size: 8, Number of words: 32);

– заполните открывшийся файл необходимыми данными, которые будут содержаться в ячейках ПЗУ (в качестве примера в таблице 8.18 приведено заполнение файла LpmRom.mif);

– сохраните заполненный файл инициализации памяти (рекомендуется располагать его в каталоге проекта) и закройте его;

Таблица 8.17– Варианты заданий

Номер варианта Наличие триггеров защёлки для выходных сигналов Разрядность N выходной шины данных, бит Количество N-битных слов памяти Способ тактирования операций записи/чтения
  есть     общий тактовый сигнал
  нет     раздельные сигналы inclock и outclock
  есть     раздельные сигналы inclock и outclock
  нет     раздельные сигналы inclock и outclock
  есть     общий тактовый сигнал
  нет     общий тактовый сигнал
  есть     раздельные сигналы inclock и outclock
  нет     раздельные сигналы inclock и outclock
  есть     раздельные сигналы inclock и outclock
  нет     общий тактовый сигнал
  есть     общий тактовый сигнал
  нет     общий тактовый сигнал

 

Таблица 8.18 – Содержимое файла инициализации ПЗУ

Addr +0 +1 +2 +3 +4 +5 +6 +7
  0F F0            
        AA BB CC DD EE
  FF              
        0A 0B 0C 0D 0E

 

– создайте новый Block Diagram/Schematic File (выберите необходимый пункт из меню File -> New);

– выберите пункт Insert Symbol из меню Edit (аналогичное действие производится по двойному нажатию мышки в любом пустом месте Block Diagram/Schematic File;

– найдите и выберите из раскрывающегося списка в левой части появившегося окна параметризированную мегафункцию lpm_rom, которая находится в разделе megafunctions -> storage стандартных библиотек САПР Quartus II как это показано на рисунке 8.32);

– завершите подтверждение выбора нажатием кнопки OK;

 

Рисунок 8.32 – Выбор параметризированной мегафункции lpm_rom

 

– задайте имя создаваемого компонента (см. рисунок 8.33), соблюдая правила именования, в открывшемся окне утилиты MegaWizard Plug-in Manager (см. рисунок 8.33) при необходимости и в случае, если САПР автоматически не выполнила эту операцию (например, не задала имя по умолчанию lpm_rom0);

– установите необходимое значение в списке выбора языка описания компонента (настоятельно рекомендуется использовать язык VHDL);

– нажмите кнопку Next >;

 

Рисунок 8.33 – Первый шаг создания ПЗУ

 

– установите необходимое значение в списке выбора разрядности выходной шины данных ПЗУ, как это показано на рисунке 8.34 (в качестве примера было выбрано значение 8 бит);

– установите необходимое значение в списке выбора общего объёма памяти (см. рисунок 8.34), которое задаётся как количество N-битных слов, где N – разрядность выходной шины данных ПЗУ (в качестве примера было выбрано значение 32);

– выполните проверку соответствия между заданными значениями разрядности и объёма памяти, которые указывались при создании Memory Initialization File, и теми, которые установлены в окне утилиты MegaWizard Plug-in Manager;

– внесите необходимые изменения содержимого Memory Initialization File, в случае возникновения указанных выше несоответствий параметров, поскольку при этом не гарантируется корректная работа ПЗУ (причём, САПР Quartus II не генерирует какие-либо сообщения об ошибках или предупреждения);

– установите значение Auto в списке выбора типа блока памяти (в этом случае компилятор и трассировщик самостоятельно учтут все особенности внутренней реализации ПЗУ для выбранной микросхемы ПЛИС);

– установите необходимое значение в списке выбора способа тактирования операций с памятью: Single clock – общий тактовый сигнал, по которому осуществляется защёлкивание входного адреса ячейки ПЗУ и чтение данных из ячейки с заданным адресом; input, output clocks – раздельные сигналы для защёлкивания адреса ячейки и чтения данных соответственно (в качестве примера был выбран второй способ тактирования операций);

– выполните повторную проверку соответствия всех заданных параметров;

– нажмите кнопку Next >;

 

Рисунок 8.34 – Второй шаг создания ПЗУ

 

– установите (см. рисунок 8.35) соответствующее значение в списке выбора вариантов установки триггеров-защёлок для выходных портов сигналов (создаваемое в качестве примера ПЗУ снабжено соответствующими триггерами), для входных портов значение данной опции задано по умолчанию, его изменять нельзя, поэтому все входные сигналы имеют соответствующие триггеры-защёлки;

– нажмите кнопку Next >;

 

Рисунок 8.35 – Третий шаг создания ПЗУ

 

– укажите в поле File name: путь, по которому размещён созданный ранее Memory Initialization File, как показано на рисунке 8.36 (./LpmRom.mif означает, что этот файл находится в каталоге проекта);

– выберите из соответствующего списка расширение файла *.mif (а не *.hex!) в процессе поиска пути к Memory Initialization File;

– нажмите кнопку Next >;

– нажмите кнопку Next > внизу появившегося окна (не следует вносить сюда никаких изменений);

– нажмите кнопку Finish, после появления окна, изображённого на рисунке 8.37, для завершения процесса создания компонента ПЗУ с помощью утилиты MegaWizard Plug-in Manager в САПР Quartus II.

 

Рисунок 8.36 – Четвёртый шаг создания ПЗУ

 

Рисунок 8.37 – Итоговое окно создания ПЗУ

 

На рисунке 8.38 в качестве примера приведена схема для исследования синтезированного при помощи утилиты MegaWizard Plug-in Manager постоянного запоминающего устройства на базе параметризируемой мегафункции lpm_rom.

 

Рисунок 8.38 – Схема исследования созданного ПЗУ

 

4 Исследуйте временные диаграммы работы синтезированного ПЗУ при произвольных фазовых соотношениях входных сигналов.

На рисунке 8.39 в качестве примера приведены временные диаграммы работы ПЗУ, процесс создания которого был описан выше.

 

Рисунок 8.39 – Временные диаграммы работы синтезированного ПЗУ

 

У компонента ПЗУ, созданного в качестве примера, выходная шина данных имеет соответствующие триггеры-защёлки. Это означает, что чтение данных из ячейки ПЗУ с заданным адресом будет выполняться за 2 такта (при отсутствии выходных триггеров чтение выбранной ячейки памяти происходит за 1 такт): в первом такте происходит выборка данных из ячейки ПЗУ, а во втором – эти данные защёлкиваются выходными триггерами. Сказанное выше касается так же и ОЗУ. Однако запись данных в ОЗУ происходит всегда за 1 такт соответствующего сигнала и не зависит от наличия регистров защёлки входных сигналов.

Из анализа временных диаграмм можно сделать вывод, что по каждому переднему фронту импульса на входе inclock происходит защёлкивание адреса ячейки памяти, который установлен на шине address[4..0]. По каждому переднему фронту импульса на входе outclock происходит защёлкивание данных из соответствующей ячейки (значения ячеек памяти заданы при помощи LpmRom.mif) выходными триггерами-защёлками, т.е., по сути, происходит чтение данных. Поэтому при отсутствии импульсов на входе outclock данные на выходе ПЗУ не изменяются (даже при наличии сигналов защёлки адреса).

5 Соберите схему для исследования однопортового оперативного запоминающего устройства на основании параметризируемой мегафункции lpm_ram_dp, согласно варианта задания, приведенного в таблице 8.19.

6 Исследуйте временные диаграммы работы синтезированного ОЗУ при произвольных фазовых соотношениях входных сигналов.

7 Соберите схему для исследования оперативного запоминающего устройства на основании параметризируемой мегафункции lpm_ram_dq, согласно варианта задания, приведенного в таблице 8.20.

8 Исследуйте временные диаграммы работы синтезированного ОЗУ при произвольных фазовых соотношениях входных сигналов.

 


Таблица 8.19 – Варианты заданий

Номер варианта Разрядность входной и выходной шин данных, бит Общий объём памяти, бит Наличие триггеров защёлки для выходных сигналов Способ тактирования операций записи/чтения
      есть общий тактовый сигнал
      нет раздельные сигналы read и write
      нет раздельные сигналы input и output
      нет раздельные сигналы read и write
      есть раздельные сигналы input и output
      есть оощий тактовый сигнал
      нет раздельные сигналы input и output
      нет раздельные сигналы read и write
      есть общий тактовый сигнал
      есть раздельные сигналы input и output
      есть общий тактовый сигнал
      нет раздельные сигналы read и write
Примечание. В качестве элементной базы для реализации ОЗУ выбирать любую микросхему ПЛИС, принадлежащую только семейству Cyclone. Для вариантов задания №1 – №6 обязательно осуществить начальную инициализацию содержимого памяти, привести в отчёте содержимое файла инициализации.

Таблица 8.20 – Варианты заданий

Номер варианта Разрядность N входной и выходной шин данных, бит Количество N-битных слов памяти Наличие триггеров защёлки для выходных сигналов Способ тактирования операций записи/чтения
      есть общий тактовый сигнал
      нет раздельные сигналы input и output
      есть общий тактовый сигнал
      нет раздельные сигналы input и output
      есть общий тактовый сигнал
      нет раздельные сигналы input и output
      есть общий тактовый сигнал
      нет раздельные сигналы input и output
      есть общий тактовый сигнал
      нет раздельные сигналы input и output
      есть общий тактовый сигнал
      нет раздельные сигналы input и output
Примечание. В качестве элементной базы для реализации ОЗУ выбирать любую микросхему ПЛИС, принадлежащую только семейству Cyclone. Для вариантов задания №7 – №12 обязательно осуществить начальную инициализацию содержимого памяти, привести в отчёте содержимое файла инициализации.

 

8.7.2 Контрольные вопросы

1 Дайте определение запоминающего устройства.

2 Какие виды запоминающих устройств Вы знаете?

3 Дайте определение постоянного запоминающего устройства. Приведите примеры их применения в технике.

4 Дайте определение оперативного запоминающего устройства. Приведите примеры их применения в технике.

5 Каким образом можно создать условное графическое обозначение компонента в САПР Quartus II?

6 Каким образом осуществляется синтез запоминающего устройства заданного типа при помощи утилиты MegaWizard Plug-in Manager?



Поделиться:


Последнее изменение этой страницы: 2016-06-26; просмотров: 856; Нарушение авторского права страницы; Мы поможем в написании вашей работы!

infopedia.su Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. Обратная связь - 3.133.131.168 (0.019 с.)