Что такое ШИМ — широтно-импульсная модуляция? 
";


Мы поможем в написании ваших работ!



ЗНАЕТЕ ЛИ ВЫ?

Что такое ШИМ — широтно-импульсная модуляция?



Лабораторная работа №3

Аппаратная Широтно Импульсная Модуляция на примере AVRATmega 8

Цель работы: ознакомление с принципами работы таймеров и счетчиков в режиме ШИМ

1.Методические указания по подготовке к работе

Содержание работы:

Уяснить поставленную задачу, ознакомиться с методами работы с регистрами микроконтроллера, разработать алгоритм работы, разработать программу, позволяющую осуществлять ШИМ управление ключем.

Широ́тно-и́мпульснаямодуля́ция (ШИМ, англ. pulse - widthmodulation (PWM)) — процесс управления мощностью методом пульсирующего включения и выключения потребителя энергии. Различают ана́логовую ШИМ и цифрову́ю ШИМ, дво́ичную (двуху́ровневую) ШИМ и трои́чную (трёхуровневую) ШИМ [1].

 

 

 

В микроконтроллере ATmega8 - аппаратный ШИМ таймера1 подключен к выводам PB6 и PB7, таймера 2 к выводу PB3  таким образом у микроконтроллера есть три аппаратных ШИМ.

 

Что такое ШИМ — широтно-импульсная модуляция?

Микропроцессоры работают исключительно с цифровыми сигналами: с логическим нулем (0В) или с логической единицей (5В или 3.3В). По этой причине на выходе микропроцессор не может сформировать промежуточное напряжение. Применение для решения таких задач внешних ЦАП нецелесообразно из-за сложности. Специально для этого разработана широтно-импульсная модуляция — определенный процесс управления мощностью, идущей к нагрузке, методом изменения скважности импульсов постоянной частотности.

ШИМ это современный метод управления уровнем мощности подаваемой к нагрузке, заключающийся в изменении продолжительности импульса при постоянной частоте их следования. Это технология модуляции сигнала за счет вариативного изменения ширины импульсов, а не выходного напряжения. ШИМ преобразователь может быть аналоговый, цифровой и пр.

Широтно-импульсная модуляция — важнейшие параметры:

1. Т -период тактирования — промежутки времени, через которые подаются импульсы.

2. Длительность импульса — время пока подается сигнал.

3. Скважность — рассчитанное по формуле соотношение длины импульса к импульсному Т периоду тактирования.

4. D коэффициент заполнения — показатель обратный скважности.

 

Область применения

Применение ШИМ позволяет увеличить и намного коэффициент полезного действия электрических преобразователей. Тем более это относится к импульсным преобразователям, которые сегодня преимущественно применяются во вторичных источниках питания разных электронных аппаратов. Импульсные преобразователи обратноходовые, прямоходовые 1-тактные, 2-тактные, полумостовые, резонансные управляются с участием ШИМ.

Принцип ШИМ сегодня стал основным для электронных устройств, которым требуется поддержание на заданном уровне выходных параметров и их регулировка. Метод применяется для изменения скорости вращения двигателей, яркости света, управления силовым транзистором БП импульсного типа.

Используется ЩИМ модуляция и в системах управления яркостью светодиодов. Светодиод, благодаря низкой инерционности, успевает мигнуть на частоте всего в несколько десятков кГц. Для человеческого глаза работа светодиода в импульсном режиме воспринимается как свечение. Яркость светодиода зависит от продолжительности импульса в течение одного периода. При коэффициенте заполнения в 50%, то есть, если время свечения равно времени паузы, яркость светодиода составляет одну вторую номинальной величины. Когда появились светодиодные лампы 220В, нашлась проблема повышения их надёжности при нестабильном входном напряжении. Задача была решена разработкой драйвера питания, функционирующего по принципу ШИМ.

Распространение устройств, функционирующих по принципу ШИМ, позволило уйти от линейных трансформаторных БП. В результате чего повысилось КПД и уменьшились масса и габариты источников питания. Поэтому сегодня ШИМ-контроллер является сегодня неотъемлемой частью импульсного БП. Он управляет силовым транзистором и напряжение на выходе блока питания всегда остаётся стабильным. Кроме этого, ШИМ-контроллер:

  • обеспечивает плавный пуск преобразователя;
  • ограничивает скважность и амплитуду управляющих импульсов;
  • контролирует входное напряжение;
  • защищает от КЗ силового ключа;
  • в аварийной ситуации переводит устройство в деж. режим.

Сегодня широтно-импульсная модуляция применяется повсеместно и позволяет управлять яркостью подсветки ЖК дисплеев мобильных телефонов, смартфонов, ноутбуков. Реализована микросхема ШИМ в сварочных аппаратах, в автоинверторах, в зарядных устройствах и пр. В любом зарядном устройстве используется сегодня ШИМ.

 

 

Аналоговая ШИМ

В аналоговых ШИМ-генераторах управляющий сигнал формируется при помощи аналогового компаратора, когда на его инвертирующий вход подается пилообразный или треугольный сигнал, а на неинвертирующий — непрерывный модулирующий.

Выходные импульсы идут прямоугольной формы. Частота их следования соответствует частоте пилы, а длительность плюсовой части импульса зависит от времени, когда уровень постоянного модулирующего сигнала, идущего на неинвертирующий вход компаратора, выше уровня пилообразного сигнала, подающегося на инвертирующий вход. В период когда напряжение пилообразного сигнала будет превышать модулирующий сигнал — на выходе будет фиксироваться отрицательная часть импульса.

Во время когда пилообразный сигнал подается на неинвертирующий вход, а модулирующий — на инвертирующий, выходные прямоугольные импульсы будут положительными, когда напряжение пилы будет выше уровня модулирующего сигнала на инвертирующем входе, а отрицательное — когда напряжение пилы станет ниже сигнала модулирующего.

Цифровая ШИМ

Работая с цифровой информацией, микроконтроллер может формировать на выходах или 100% высокий или 0% низкий уровень напряжения. Но для эффективного управления нагрузкой такое напряжение на выходе нужно изменять. Например, когда осуществляется регулировка скорости вращения вала мотора или при изменении яркости светодиода.

Вопрос решают ШИМ контроллеры. То есть, 2-хуровневая импульсно-кодированная модуляция — это серия импульсов, характеризующаяся частотой 1/T и либо шириной Т, либо шириной 0. Для их усреднения применяется передискретизация. При цифровой ШИМ прямоугольныеподимпульсы, которыми и заполнен период, могут занимать любое место в периоде. Тогда на среднем значении сигнала за период сказывается лишь их количество. Так как процесс осуществляется на частоте в сотни кГц, можно добиться плавной регулировки. ШИМ контроллеры решают эту задачу.

Можно провести следующую аналогию с механикой. Когда маховик вращается при помощи двигателя, при включенном двигателе маховик будет раскручиваться или продолжать вращение, если двигатель выключен, маховик будет тормозить из-за сил трения. Однако, если движок включать/выключать на несколько секунд, вращение маховика будет держаться на определенной скорости благодаря инерции. Чем дольше период включения двигателя, тем быстрее раскрутится маховик. Аналогично работает и ШИМ модулятор. Так работают ШИМ контроллеры, в которых переключения происходят в секунду тысячи раз, и частоты могут достигнуть единиц мегагерц.

Использование ШИМ-контроллеров обусловлено их следующими преимуществами:

  • стабильностью работы;
  • высокой эффективностью преобразования сигнала;
  • экономией энергии;
  • низкой стоимостью.

Получить на выводах микроконтроллера (МК) ШИМ сигнал можно:

  • аппаратным способом;
  • программным способом.

В каждом МК есть встроенный таймер, генерирующий ШИМ импульсы на определённых выводах. Это аппаратный способ. Получение ШИМ сигнала при помощи команд программирования более эффективно за счет разрешающей способности и дает возможность задействовать больше выводов. Но программный способ вызывает высокую загрузку МК, занимая много памяти.

Принцип шим-регулятора

Работа ШИМ регулятора сложностью не отличается. ШИМ-регулятор — устройство, выполняющее такую же функцию, что и традиционный линейный регулятор мощности (то есть, меняет напряжение или ток за счёт силового транзистора, рассеивающего значительную мощность на себе). Но ШИМ-регулятор отличается намного большим КПД. Достигается это благодаря тому, что управляющий силовой транзистор функционирует в ключевом режиме (либо включен, тогда пропускает большой ток, но мало падение напряжения, либо выключен — ток не проходит). В результате на таких силовых транзисторах мощность практически не рассеивается и энергия впустую не тратится.

После силового транзистора напряжение выходит как прямоугольные импульсы с изменяющейся скважностью в зависимости от необходимой мощности. Но сигнал нужно демодулировать (то есть, выделить среднее напряжение). Этот процесс происходит или в самой нагрузке (когда она индуктивного характера) или если между нагрузкой и силовым каскадом располагают фильтр нижних частот.

Самих режимов ШИМ в микроконтроллере ATmega8 существует несколько, рассмотрим их подробнее.


Режимы Работы таймера

Режим работы, т.е поведение Таймера/Счетчика и Модуля Сравнения, определяется комбинацией битов WGM2:0 и COMx1:0. Биты COMx1:0 никак не влияют на вычисление Инкремента или Декремента Таймера/Счетчика, в отличии от битов WGM2:0. Биты COMx1:0 влияют на Генерирование импульсов ШИМ, и определяют - будут ли они Инверсными или - нет (Инверсный ШИМ или НЕИнверсный ШИМ). Для Режима non- ШИМ биты COMx1:0 определяют, будет ли в Режиме Сравнения бит на Выходе: 1-Установлен; 2-Очищен; 3- Переключен (обращайтесь к разделу "Модуль Сравнения" на странице 64). За подробной информацией от Таймингах (Временных Задержках), обратитесь к Рисункам: 33, 34, 35 и 36 в разделе "Временные Диаграммы Таймера/Счетчика" на странице 70.

 

Режим Normal

Нормальный Режим ("Normal") работы является наиболее простым из всех (биты устанавливаются

так:WGM02:0 = 0). В Этом Режиме направление счета всегда является Инкрементирующим, а Очищение счетчика не выполняется. Счетчик просто переполняется, когда достигает максимального 8-битного значения (TOP=0xFF), а затем перезапускается заново со значения (0х00. В Normal Режиме Флаг Переполнения Т/С0 -TOV0- будет устанавливаться на том же цикле, на котором будет происходить обнуление Регистра TCNT0. В этом случае Флаг TOV0 ведет себя так, как будто он является девятым битом Регистра TCNT0 (хотя, это и не так), за исключением того, что этот Флаг устанавливается, а не сбрасывается. Однако, в комбинации с Прерыванием по Переполнению, которое автоматически очищает Флаг TOV0, Разрешение (диапазон) счетчика Т/С0 может быть Увеличено программно. Новое значение в Режиме Normal может быть записано в TCNT0 в любое время.

Модуль Сравнения может быть использован для вызова прерывания в нужное время. Не рекомендуется использовать Режим Сравнения для того, чтобы Генерировать какую-то частоту, так как это займет значительное время у ЦПУ.

 

Clear Timer On Compare

Сбросприсравнении. Это уже скорей ЧИМ — частотно-импульсно моделированный сигнал. Тут работает несколько иначе, чем при других режимах. Тут счетный таймер тикает не от 0 до предела, а от 0 до регистра сравнения! А после чего сбрасывается.

 

 

Настройка ШИМ

 

Для управления скважностью ШИМ, настроим кнопки управления.

Для этого дополним код, внутри цикла while.

 

while (1)

{              

 

    if(PIND.0==0) {OCR2++;delay_ms(300);anim();}

    if(PIND.1==0) {OCR2--;delay_ms(300);anim();} 

}

 

 

Теперь нажимая кнопки можно увидеть изменение скважности шим.

 

 

Порядок выполнения работы

1. Получить задание у преподавателя

2. Разработать алгоритм

3. Разработать программу в CodeVisionAVR

4. Скомпилировать файл прошивки

5. Спроектировать макетную плату в PROTEUS

6. Загрузить файл (*.hex) в PROTEUS и запустить симуляцию в проекте.

Оформление отчета

Отчет должен содержать:

а) формулировку цели работы,

б)схему устройства

в) код программы в CodeVisionAVR

г)схема проекта в PROTEUS

д) Выводы по работе

 

 

 

Список использованной литературы

1) М.Б. Лебедев. CodeVision AVR. Пособие для начинающих. Додэка XXI, 2008. 592 с.

 

Содержание:

1.Методические указания по подготовке к работе

1.1. Основные сведения из теории:

Состав микроконтроллеров

Таймеры и счетчики ATmega 8

Устройство микроконтроллера на примере ATmega 8

Понятие - Широтно Импульсная Модуляция 

Аппаратная на примере AVRATmega8

Режим - Fast PWM

Порядок выполнения работы

Оформление отчета

 

 

Лабораторная работа №3

Аппаратная Широтно Импульсная Модуляция на примере AVRATmega 8

Цель работы: ознакомление с принципами работы таймеров и счетчиков в режиме ШИМ

1.Методические указания по подготовке к работе

Содержание работы:

Уяснить поставленную задачу, ознакомиться с методами работы с регистрами микроконтроллера, разработать алгоритм работы, разработать программу, позволяющую осуществлять ШИМ управление ключем.

Широ́тно-и́мпульснаямодуля́ция (ШИМ, англ. pulse - widthmodulation (PWM)) — процесс управления мощностью методом пульсирующего включения и выключения потребителя энергии. Различают ана́логовую ШИМ и цифрову́ю ШИМ, дво́ичную (двуху́ровневую) ШИМ и трои́чную (трёхуровневую) ШИМ [1].

 

 

 

В микроконтроллере ATmega8 - аппаратный ШИМ таймера1 подключен к выводам PB6 и PB7, таймера 2 к выводу PB3  таким образом у микроконтроллера есть три аппаратных ШИМ.

 

Что такое ШИМ — широтно-импульсная модуляция?

Микропроцессоры работают исключительно с цифровыми сигналами: с логическим нулем (0В) или с логической единицей (5В или 3.3В). По этой причине на выходе микропроцессор не может сформировать промежуточное напряжение. Применение для решения таких задач внешних ЦАП нецелесообразно из-за сложности. Специально для этого разработана широтно-импульсная модуляция — определенный процесс управления мощностью, идущей к нагрузке, методом изменения скважности импульсов постоянной частотности.

ШИМ это современный метод управления уровнем мощности подаваемой к нагрузке, заключающийся в изменении продолжительности импульса при постоянной частоте их следования. Это технология модуляции сигнала за счет вариативного изменения ширины импульсов, а не выходного напряжения. ШИМ преобразователь может быть аналоговый, цифровой и пр.

Широтно-импульсная модуляция — важнейшие параметры:

1. Т -период тактирования — промежутки времени, через которые подаются импульсы.

2. Длительность импульса — время пока подается сигнал.

3. Скважность — рассчитанное по формуле соотношение длины импульса к импульсному Т периоду тактирования.

4. D коэффициент заполнения — показатель обратный скважности.

 

Область применения

Применение ШИМ позволяет увеличить и намного коэффициент полезного действия электрических преобразователей. Тем более это относится к импульсным преобразователям, которые сегодня преимущественно применяются во вторичных источниках питания разных электронных аппаратов. Импульсные преобразователи обратноходовые, прямоходовые 1-тактные, 2-тактные, полумостовые, резонансные управляются с участием ШИМ.

Принцип ШИМ сегодня стал основным для электронных устройств, которым требуется поддержание на заданном уровне выходных параметров и их регулировка. Метод применяется для изменения скорости вращения двигателей, яркости света, управления силовым транзистором БП импульсного типа.

Используется ЩИМ модуляция и в системах управления яркостью светодиодов. Светодиод, благодаря низкой инерционности, успевает мигнуть на частоте всего в несколько десятков кГц. Для человеческого глаза работа светодиода в импульсном режиме воспринимается как свечение. Яркость светодиода зависит от продолжительности импульса в течение одного периода. При коэффициенте заполнения в 50%, то есть, если время свечения равно времени паузы, яркость светодиода составляет одну вторую номинальной величины. Когда появились светодиодные лампы 220В, нашлась проблема повышения их надёжности при нестабильном входном напряжении. Задача была решена разработкой драйвера питания, функционирующего по принципу ШИМ.

Распространение устройств, функционирующих по принципу ШИМ, позволило уйти от линейных трансформаторных БП. В результате чего повысилось КПД и уменьшились масса и габариты источников питания. Поэтому сегодня ШИМ-контроллер является сегодня неотъемлемой частью импульсного БП. Он управляет силовым транзистором и напряжение на выходе блока питания всегда остаётся стабильным. Кроме этого, ШИМ-контроллер:

  • обеспечивает плавный пуск преобразователя;
  • ограничивает скважность и амплитуду управляющих импульсов;
  • контролирует входное напряжение;
  • защищает от КЗ силового ключа;
  • в аварийной ситуации переводит устройство в деж. режим.

Сегодня широтно-импульсная модуляция применяется повсеместно и позволяет управлять яркостью подсветки ЖК дисплеев мобильных телефонов, смартфонов, ноутбуков. Реализована микросхема ШИМ в сварочных аппаратах, в автоинверторах, в зарядных устройствах и пр. В любом зарядном устройстве используется сегодня ШИМ.

 

 



Поделиться:


Последнее изменение этой страницы: 2021-01-08; просмотров: 345; Нарушение авторского права страницы; Мы поможем в написании вашей работы!

infopedia.su Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. Обратная связь - 3.142.96.146 (0.004 с.)