Неисправности и тестирование параллельных портов 


Мы поможем в написании ваших работ!



ЗНАЕТЕ ЛИ ВЫ?

Неисправности и тестирование параллельных портов



Тестирование параллельных портов целесообразно начинать с проверки их наличия в системе. Список адресов установленных портов обычно появляется в таблице заставки, выводимой BIOS на экран перед загрузкой ОС. Кроме этой таблицы, список можно посмотреть и с помощью тестовых программ или прямо в BIOS DATA AREA с помощью любого отладчика.

Если BIOS обнаруживает меньше портов, чем установлено физически, скорее всего, каким-либо двум портам присвоен один адрес. Программное тестирование порта без диагностической заглушки (Loop Back) не покажет ошибок, поскольку при этом читаются данные выходных регистров, а они у всех конфликтующих (по отдельности исправных портов) совпадут. Именно такое тестирование и производит BIOS при проверке на наличие портов. Разбираться с такой ситуацией имеет смысл последовательно устанавливая порты и наблюдая за адресами, появляющимися в списке.

Если физически установлен только один порт и его не обнаруживает BIOS, то либо он отключен при конфигурировании, либо вышел из строя скорее всего из-за нарушений правил подключения.

Тестирование портов с помощью диагностических программ позволяет проверить их выходные регистры, а при использовании специальных заглушек — и входные линии. Поскольку количество выходных линий порта (12) и входных (5) различно, то полная проверка порта с помощью пассивной заглушки принципиально невозможна. Разные программы тестирования требуют применения специально на них ориентированных заглушек (рис. 1),

Рис. 1. Схема заглушки для тестирования LPT-порта программой Checkit

Большинство неприятностей при работе с LPT-портами доставляют разъемы и кабели. Для проверки порта, кабеля и принтера можно воспользоваться специальными тестами из популярных диагностических программ (Checkit, PCCheck и т. п.), а можно вывести на принтер какой-либо символьный файл.

Если вывод файла с точки зрения DOS проходит (копирование файла на устройство с именем LPTn или PRN проходит быстро и успешно), а принтер (исправный) не напечатал ни одного символа — скорее всего, это обрыв (неконтакт в разъеме) цепи STROBES.

Если принтер по своему индикатору находится в состоянии On Line, a появляется сообщение о его неготовности (Not Ready Error), то причину следует искать в линии Busy.

Если принтер искажает информацию при печати, возможен обрыв (или замыкание) линий данных. В этом случае удобно воспользоваться файлом, содержащим последовательность кодов всех печатных символов (его можно создать с помощью простой программы, написанной даже на языке Basic, — ее текст приведен ниже).

10 OPEN "bincod.chr" FOR OUTPUT AS #1

20 FORJ=2T015

30 FOR 1=0 ТО 15

40 PRINT#1, CHR$(16*J+I);

50 NEXT I 60 PRINT#1,

70 NEXTJ

80 CLOSE #1

90 END

Файл BINCOD.CHR, созданный данной программой, представляет собой таблицу всех печатных символов (управляющие коды пропущены), расположенных по 16 символов в строке. Если файл печатается с повтором некоторых символов или их групп, по периодичности повтора можно легко вычислить оборванный провод данных интерфейса. Этот же файл удобно использовать для проверки аппаратной руссификации принтера.

Если принтер, подключенный к порту, в стандартном режиме (SPP) печатает нормально, а при переходе на ЕСР начинаются сбои, следует проверить кабель — соответствует ли он требованиям IEEE 1284. Кабели с неперевитыми проводами нормально работают на скоростях 50-100 Кбайт/с, но при скорости 1-2 Мбайт/с, обеспечиваемой ЕСР, они могут не работать, особенно при длине более 2 метров.

Если при установке драйвера РпР-принтера появилось сообщение о необходимости применения “двунаправленного кабеля”, проверьте наличие связи контакта 17 разъема DB-25 с контактом 36 разъема Centronics.

Аппаратные прерывания от LPT-порта используются далеко не всегда. Неисправности, связанные с цепью прерывания от порта, проявляются не часто. Однако по-настоящему многозадачные ОС (например, сервер NetWare) стараются работать с портом именно по прерываниям. Тестировать линию прерывания можно, только подключив к порту периферийное устройство или специальную заглушку.

Параллельный порт и РпР

Большинство современных периферийных устройств, подключаемых к LPT-порту, поддерживает стандарт 1284 и функции РпР. Для поддержки этих функций компьютером с аппаратной точки зрения достаточно иметь контроллер интерфейса, поддерживающий стандарт 1284. Для работы РпР подключенное устройство должно сообщить операционной системе все необходимые сведения о себе (идентификаторы производителя, модели и набор поддерживаемых команд). Более развернутая информация об устройстве может содержать идентификатор класса, подробное описание и идентификатор устройства, с которым обеспечивается совместимость.

Видеоадаптеры EGA, VGA

ВВЕДЕНИЕ

Изначально персональные компьютеры IBM PC комплектовались видеоадаптером MDA с монохромным дисплеем. Этот адаптер имел небольшую разрешающую способность, не мог отражать графическую информацию и был монохромным. Через некоторое время небольшая фирма Hercules Computer Technology выпустила монохромный видеоадаптер Hercules, который имел возможность вывода графики и имел большую разрешающую способностью CGA стал первым цветным видеоадаптером фирмы IBM. Он уже обеспечивал возможность отображать цветную графическую и текстовую информацию, но имел слишком маленькую разрешающую способность. Затем IBM выпустила два, наиболее распространенных в настоящее время видеоадаптера EGA и VGA. Они созданы на другой элементной базе и имеют лучшую, чем у CGA, разрешающую способность при большем числе отображаемых цветов.

В последнее время различные фирмы — производители видеоадаптеров выпустили большое количество плат, превосходящим по своим возможностям VGA. Эти платы, которые можно объединить под общим названием Super VGA, не имеют пока единого стандарта.

Фирма IBM начала выпуск нового видеоадаптера XGA, который, как предполагается, станет новым стандартом для компьютеров на базе процессоров Intel 386/486... Видеоадаптер содержит встроенный графический процессор, значительно увеличивающий его возможности и скорость работы. XGA аппаратно поддерживает перерисовку изображений в окнах экрана. При обмене данными между видеопамятью и основной памятью сам XGA вместо центрального процессора реализует управление шиной данных, что позволяет быстро передавать изображение на экран.

Следует также отметить, что предусмотрена совместимость видеоадаптеров VGA и XGA на уровне регистров. Базовая конфигурация XGA содержит 512 Кбайт видеопамяти, что обеспечивает разрешение 1024*768 пикселов при 16 цветах. Увеличение объема видеопамяти до 1Мбайта при той же разрешающей способности позволяет получить 256 цветов.

АРХИТЕКТУРА ВИДЕОАДАПТЕРОВ EGA И VGA

Видеоадаптеры EGA и VGA условно делятся на шесть логических блоков, описание которых приведены ниже:

1. Видеопамять. В видеопамяти размещаются данные, отображаемые адаптером на экране дисплея. Для EGA и VGA видеопамять обычно имеет объем 256 Кбайт, на некоторых моделях SVGA и XGA объем видеопамяти может быть увеличен до 2Мбайт. Видеопамять находится в адресном пространстве процессора и программы могут непосредственно производить с ней обмен данными. Физически видеопамять разделена на четыре банка, или цветовых слоя, использующих совместное адресное пространство.

2. Графический контроллер. Посредством его происходит обмен данными между центральным процессором и видеопамятью. Аппаратура графического контроллера позволяет производить над данными, поступающими в видеопамять и расположенными в регистрах-защелках простейшие логические операции.

3. Последовательный преобразователь. Выбирает из видеопамяти один или несколько байт, преобразует их в поток битов, затем передает их контроллеру атрибутов.

4. Контроллер ЭЛТ. Контроллер генерирует временные синхросигналы, управляющие ЭЛТ.

5. Контроллер атрибутов. Преобразует информацию о цветах из формата, в котором она хранится в видеопамяти, в формат, необходимый для ЭЛТ.

6. Синхронизатор. Управляет всеми временными параметрами видеоадаптера. Синхронизатор также управляет доступом процессора к цветовым слоям видеоадаптера.

Видеопамять адаптеров EGA и VGA разделена на четыре банка, или на четыре цветовых слоя. Эти банки размещаются в одном адресном пространстве таким образом, что по каждому адресу расположено четыре байта (по одному байту в каждом банке). Какой из банков памяти используется для записи или чтения данных процессором, определяется при помощи установки нескольких регистров адаптера.

Так как все четыре банка находятся в одном адресном пространстве, то процессор может производить запись во все четыре банка за один цикл записи. Благодаря этому некоторые операции, например заполнение экрана, происходят с большей скоростью. В том случае, когда запись во все четыре банка не требуется, можно разрешать или запрещать запись во все четыре банка при помощи регистра разрешения записи цветового слоя.

Для операции чтения в каждый момент времени может быть разрешен с помощью регистра выбора читаемого цветового слоя только один цветовой слой.

В большинстве режимов видеоадаптера видеопамять разделена на несколько страниц. При этом одна из них является активной и отображается на экране. При помощи функций BIOS или программирования регистров видеоадаптера можно переключать активные страницы видеопамяти. Вывод информации может производиться как в активную, так и в неактивные страницы видеопамяти.

Текстовый режим.

В текстовых режимах на экране могут отображаться только текстовые символы. Стандартные текстовые режимы позволяют выводить на экран 25 строк по 40 или 80 символов. Для кодирования каждого знакоместа экрана используется два байта: первый из них содержит ASCII код отображаемого символа, второй -атрибуты символа. ASCII коды символов экрана располагаются в нулевом цветовом слое, а их атрибуты — в первом цветовом слое. Атрибуты определяют цвет символа и цвет фона. Благодаря такому режиму хранения информации достигается значительная экономия памяти. При отображении символа на экране происходит преобразование его из формата ASCII в двумерный массив пикселов, выводимых на экран. Для этого преобразования используется таблица трансляции символов (таблица знакогенератора). Таблица знакогенератора хранится во втором слое видеопамяти. При непосредственном доступе к видеопамяти нулевой и первый цветовые слои отображаются на общее адресное пространство с чередованием байтов из слоев. Коды символов имеют четные адреса, а их атрибуты — нечетные.

При установке текстовых режимов работы видеоадаптеров EGA и VGA BIOS загружает таблицы знакогенератора из ПЗУ во второй цветовой слой видеопамяти. Впоследствии таблицы используются при отображении символов на экране. Благодаря этому можно легко заменить стандартную таблицу знакогенератора своей собственной. Это широко применяется при русификации компьютеров.

EGA и VGA обеспечивают возможность одновременной загрузки соответственно четырех и восьми таблиц знакогенераторов в память. Каждая таблица содержит описание 256 символов. Одновременно активными могут быть одна или две таблицы знакогенератора. Это дает возможность одновременно отображать на экране до 512 символов. При этом один бит из байта атрибутов указывает, какая из активных таблиц знакогенератора используется при отображении данного символа. Номера активных таблиц знакогенератора определяются регистром выбора знакогенератора.

EGA поддерживает два размера для матриц символов: 8х8 и 8х14 пикселов. Один из этих наборов символов автоматически загружается BIOS в видеопамять при выборе текстового режима. Так как VGA имеет большую разрешающую способность, то его матрица символа имеет размеры 9х16. На каждый символ отводится 32 байта. Первая таблица имеет в видеопамяти адреса: 0000h—1FFFh, вторая: 2000h—3FFFh,..., восьмая: E000h—FFFFh.

Каждый символ, отображаемый на экране в текстовом режиме, определяется не только своим ASCII кодом, но и байтом атрибутов. Атрибуты задают цвет символа, цвет фона, а также некоторые другие параметры. Биты D0—D2 байта атрибутов задают цвет символа, D4—D6 цвет фона. Если активной является одна таблица знакогенератора, то D3 используется для управления интенсивностью цвета символа, что позволяет увеличить количество воспроизводимых цветов до 16. Если одновременно определены две таблицы знакогенератора, то D3 задает таблицу знакогенератора, которая будет использована для отображения данного символа. Бит D7 выполняет две различные функции в зависимости от состояния регистра режима контроллера атрибутов. Данный бит либо управляет интенсивностью цвета фона, увеличивая количество отображаемых цветов до 16, либо разрешением гашения символа, в результате чего символ на экране будет мигать. По умолчанию данный бит управляет разрешением гашения символа.

Видеопамять в графических режимах: Распределение видеопамяти в графических режимах работы адаптеров отличается от распределения видеопамяти в текстовых режимах. Ниже рассмотрена структура распределения видеопамяти отдельно для каждого графического режима.

Режимы 4 и 5.

Это режимы низкого разрешения (320х200), используются 4 цвета. Поддерживаются видеоадаптерами CGA, EGA и VGA. У EGA и VGA видеоданные расположены в нулевом цветовом слое, остальные слои не используются. Для совместимости с CGA отображение видеопамяти на экране не является непрерывным: первая половина видеопамяти (начальный адрес В800: 0000) содержит данные относительно всех нечетных линий экрана, а вторая (начальный адрес В800: 2000) — относительно всех четных линий. Каждому пикселу соответствует два бита видеопамяти. За верхний левый пиксел экрана отвечают биты D7 и D6 нулевого байта видеопамяти. В режимах 4 и 5 имеются два набора цветов: стандартный и альтернативный: 00 - черный; 01 - светло-синий (зеленый); 10 - малиновый (красный); 11 - ярко-белый (коричневый).

Режим 6.

Режим 6 является режимом наибольшего разрешения для CGA (640х200). Видеоадаптеры EGA и VGA используют для хранения информации только нулевой слой. Как и в режимах 4 и 5 первая половина видеопамяти отвечает за нечетные линии экрана, а вторая половина — за четные. В данном режиме на один пиксел отводится один бит видеопамяти. Если значение бита равно 0, то пиксел имеет черный цвет, а если единице — то белый.

Режимы 0Dh и 0Еh.

Разрешающая способность в режиме 0Dh составляет 320х200, а в режиме 0Eh 640х200 пикселов. Данный режим поддерживается только видеоадаптерами EGA и VGA. Для хранения видеоданных используются все четыре цветовых слоя. Адресу видеопамяти соответствуют четыре байта, которые вместе определяют восемь пикселов. Каждому пикселу соответствуют четыре бита — по одному из каждого цветового слоя. Четыре бита на пиксел, используемые в данных режимах, позволяют отображать 16 различных цветов. Запись в каждый из этих цветовых слоев можно разрешить или запретить при помощи разрешения записи цветового слоя. Управление доступом к цветовым плоскостям осуществляется при помощи регистров: Адресный регистр графического контроллера, порт вывода для этого регистра 3CEh; биты 0—3 содержат адрес регистра, остальные не используются. Регистр цвета: для доступа к этому регистру значение адресного регистра должно быть 00h, адрес порта вывода для этого регистра 3CFh; биты 0—3 определяют значение для соответствующей плоскости, остальные не используются. Регистр разрешения цвета: для доступа к этому регистру значение адресного регистра должно быть 01h, адрес порта вывода для этого регистра 3CFh; биты 0—3 означают разрешение соответствующего слоя, а остальные не используются. Регистр выбора плоскости для чтения: для доступа к этому регистру значение адресного регистра должно быть 04h, адрес порта вывода для этого регистра 3CFh; биты 0—2 содержат номер плоскости для чтения, а остальные не используются.

Графический контроллер осуществляет обмен данными между видеопамятью и процессором. Он может выполнять над данными, поступающими в видеопамять, простейшие логические операции: И, ИЛИ, ИСКЛЮЧАЮЩЕЕ ИЛИ, циклический сдвиг. Таким образом, видеоадаптер может выполнять часть работы по обработке видеоданных. Хотя процессор может читать данные только из одного цветового слоя, запись данных в регистры-защелки происходит из всех цветовых слоев. Эту особенность можно использовать для быстрого копирования областей экрана.

Во время цикла чтения данных из видеопамяти, графический контроллер может выполнять операцию сравнения цветов. В отличие от обычной операции чтения. когда читается только один цветовой слой, при операции сравнения цветов графический контроллер имеет доступ ко всем четырем слоям одновременно. В случае совпадения вырабатывается определенный сигнал.

Последовательный преобразователь.

Это устройство запоминает данные, читаемые из видеопамяти в течении цикла регенерации, преобразует их в последовательный поток бит, а затем передает их контроллеру атрибутов.

Контроллер атрибутов.

Контроллер атрибутов в графических режимах управляет цветами. Значениям цветовых атрибутов ставится в соответствие определенный цвет при помощи таблицы цветовой палитры. Эта таблица ставит в соответствие четырем битам из видеопамяти шесть битов цветовой информации. Для ЕGA эта информация поступает непосредственно на дисплей, а для VGA — преобразуется в соответствии с таблицей цветов тремя ЦАП в RGB-сигнал и передается на дисплей.

Контроллер ЭЛТ выполняет следующие функции: вырабатывает сигналы управления работой ЭЛТ, определяет формат экрана и символов текста, определяет форму курсора, управляет световым пером, управляет скроллингом содержимого экрана.

Синхронизатор управляет всеми временными параметрами видеоадаптера.

 

Общие сведен

-+\я и технические характеристики специализированного процессора вводаа-вывода К1810ВМ89

Микросхема К1810ВМ89 представляет собой однокристальный 20-битовый специализир о ванный процессор ввода — вывода (СПВ Б), выполненный по высококачественной n-МОП -технологии [4, 5, 15 ]. Кристалл микросхемы размером 5,5*5,5 мм потребляет мощность не более 2.5 Вт от источника питания напряжением +5 В. Схема выпускается в 40-выводном корпусе. Синхронизуется однофазными импульсами с частотой повторения 1—5 МГц от внешнего тактового генератора.

Процессор К1810ВМ89 (обозначаемый далее для краткости ВМ89) используется совместно с центральным процессором ВМ86\ВМ88, а также К580ВМ80. Он предназначен для повышения производительности систем на базе МПК К1810 благодаря освобождению ЦП от управления вводом — выводом и осуществлению высокоскоростных пересы лок с прямым доступам в память (ПДП пересылок). К основным функциям СПВ Б ВМ89 относятся инициализация и управление контроллерами внешних устройств, обеспечение гибких и универсальных пересылок с ПДП. Процессор может работать параллельно с ЦП одновременно по двум каналам ввода — вывода, каждый из которых обеспечивает скорость передачи информации до 1,25 Мбайт/с при стандартной тактовой частоте 5 МГц. Организация связи СПВВ с центральным процессором через память повышает гибкость взаимодействия и облегчает создание модульного программного обеспечения, что повышает надежность разрабатываемых схем.

Процессор ВМ89 имеет два идентичных канала ввода — вывода, каждый из которых содержит 5 20-битовых, 4 16-битовых и один 4-битовый регистр. Взаимодействие каналов при параллельной работе осуществляется под управлением встроенной логики приоритетов. Процессор обеспечивает 16-битовую шину данных для связи с ОЗУ и портами В\В. Шина адреса имеет 20 линий, что позволяет непосредственно адресоваться к памяти емкостью до 1 Мбайт. Для экономии числа выводов БИС младшие 16 адресных линий мультиплексированы во времени с линиями данных и составляют единую локальную шину адреса/данных. Четыре старшие адресные линии аналогично мультиплексированы с линиями состояния СПВ Б. Чтобы сигналы этих линий можно было использовать в МПС, их обязательно демультиплексируют, либо с помощью тех же внешних схем, которые используются ЦП (в местной конфигурации), либо с помощью независимых схем (в удаленной конфигурации).

Система команд СПВВ ВМ89 содержит 53 мнемокода, причем возможности и набор команд оптимизированы специально для гибкой, эффективной и быстрой обработки данных при вводе — выводе. СПВБ позволяет сопрягать 16- и 8-битовые шины и периферийные устройства. При использовании ВМ89 в удаленном режиме пользователь программно может определить различные функции шины СПВБ, легко сопрягая ее со стандартной шиной Multibus.

Предельно допустимые условия эксплуатации БИС К1810ВМ89: температура окружающей среды 0...70 °С, напряжение на любом выводе относительно корпуса -0.3...+7В. Основные хар-ки по постоянному току при- ведены в табл. 1

 

Назначение выводов БИС К1810ВМ89

Параметр Значение параметра Условия Условия измерения
  min мах  
Напряжение "0" на входе, В -0,5 +0,8  
Напряхение "1" на входе, В 2,0 6,0  
Напряжение "0" на выходе, В - 0,45 I=2,0 мА
Напряжение "1" на выходе, В 2,4   I=-0.4 мА
Ток источника питания, мА -   Т=25 С
Ток утечки на входах, мкА - ±10 Uвх=5 В
Ток утечки на выходах, мкА - ±10 0,45 £ U вых ³ 5 В
Напряжение "0" на входе тактовой частоты, В -0,5 +0,6  
Напряжение "1" на входе тактовой частоты, В 3,6 6,0  
Емкость входа (для всех вы- водов, кроме ADO - AD15, RQ/GT), пф -   F=1MГц
Емкость входа/выхода ADO - AD15, RQ/GT. пф -   F=1MГц

AD15-AD0 - входы \ выходы для формирования адресов и передачи данных. Функции этих линий задаются сигналами состояния до S2, SI, SO. Линии находятся в высокоомном состоянии после общего сброса, и тогда, когда шина не используется. Линии AD15—AD8 формируют стабильные (не мультиплексированные) сигналы при пересылках на 8-битовую физическую шину данных и мультиплексируются с данными при пересылках на 16-битовую физическую шину данных (таб 1).

A19/S6, A18/S5, A17/S4, A16/S3 - выходы для формирования четырех старших разрядов адресов и сигналов состояний. Сигналы адресов формируются в течение первой части цикла шины (Т 1), в остальной части цикла активны сигналы состояний, которые кодируются так: S6=S5=1 - означает ПДП-пересылку; S4=0, что означает ПДП-пересылку; S4 = l— цикл шины без ПДП; S3 = 0—работает канал 1; S3=l—работает канал 2. После такого сброса при отсутствии обращений к шине эти линии находятся в высокоомном состоянии.

ВНЕ - выходной сигнал разрешения старшего байта шины данных. Сигнал низкого (активного) уровня формируется процессором, когда байт должен передаваться по старшим линиям D15 — D8. После общего сброса и. при отсутствии обращений к шине этот выход находится в высокоомном состоянии. Сигнал ВНЕ (в отличие от аналогичного сигнала процессоров ВМ86 и ВМ87) может не фиксироваться в фиксаторе адреса, так как он не мультиплексирован с другим сигналом.

S2-S0 - выходы для кодирования стояния ВМ89, определяющие действия процессора в каждом цикле работы с шиной. Они кодируются следующим образом: S2S1S0=000—выборка команды из адресного пространства ввода — вывода; 001-чтение данных из адресного пространства ввода—вывода; 010—запись данных в адресное пространство ввода-вывода; 100-выборка команды из системного пространства адресов; 101-чтение данных из системного пространства адресов; 101 — чтение данных из системного пространства адресов; 110-зщапись данных в системное пространство адресов; 111 — пассивное состояние. Код 01l—не используется. С помощью этих сигналов контроллер шины и арбитр шины формируют команды управления памятью и устройствами ввода-вывода. Сигналы формируются в такте Т4 предыдущего цикла, определяя начало нового цикла. По окончании цикла шины в такте Т3 или ТW сигналы возвращаются в пассивное состояние. После общего сброса и при отсутствии обращений к шине выходы S2, SI, SO находятся в высокоомном состоянии.

READY — входной сигнал готовности, поступающий от адресуемого устройства, которое оповещает СПВБ о том, что оно готово к пересылке данных. Сигнал синхронизируется в тактовом генераторе К1810ГФ84.

LOCK — выходной сигнал монополизации (блокировки) системной шины. Используется в многопроцессорных системах и подается на одноименный вход арбитра шины К1810ВБ89, запрещая доступ к системной шине другим процессорам. Сигнал формируется установкой соответствующего разряда регистра управления канала либо командой TSL. После общего сброса и при отсутствии обращений к шине выход LOCK находится в высокоомном состоянии.

RESET — входной сигнал общего сброса (начальной установки) останавливает любые действия СПВБ и переводит его в пассивное состояние до получения сигнала запроса готовности канала.

CLK — вход для подачи импульсов синхронизации от генератора тактовых К1810ГФ84.

СА — входной сигнал запроса готовности канала. Используется центральным процессором для инициализации СПВВ и определения задания каналам. По срезу сигнала СА опрашивается состояние входа SEL.

SEL – входной сигнал, который по первому (после общего сброса) сигналу СА определяет статус (ведущий/ведомый) СПВБ и запускает последовательность инициализации. При поступлении последующих сигналов СА сигнал SEL определяет номер канала (1 или 2), которому предназначено сообщение от ЦП.

DRQ1, DRQ2 – входы запросов прямого доступа к памяти от внешних устройств. Сигналы на этих входах сигнализируют СПВВ, что внешнее устройство готово к обмену данными с использованием канала 1 или 2 соответственно.

RQ/GT — входной/выходной сигнал запроса/предоставления шины, по которому осуществляется диалог, необходимый для арбитража шины между СПВВ и ЦП в местной конфигурации или между двумя СПВВ в удалённой конфигурации.

SINTR1, SINTR2 – выходные сигналы запросов прерываний от каналов 1 и 2 соответственно. Обычно они передаются на вход ЦП через контроллер прерываний К1810ВН59А. Используются для сигнализации о том, что произошли задаваемые пользователем (программистом) события.

ЕХТ1, ЕХТ2 — входы сигналов внешнего окончания прямого доступа для каналов 1 и 2 соответственно. Они вызывают окончание текущей ПДП- пересылки в канале, который запрограммирован для анализа окончания ПДП по внешнему сигналу.

Структура СПВБ

Внутренняя структура СПВВ подчинена его основному назначению - выполнять пересылки данных без непосредственного вмешательства ЦП, который связывается с СПВБ только для инициализации и выдачи задания на обработку. В обоих случаях ЦП предварительно готовит необходимое сообщение в памяти и затем с помощью сигнала запроса готовности канала активизирует СПВБ ВМ89 на выполнение действий, определенных в сообщении. С этого момента СПВВ работает независимо от ЦП. В процессе выполнения задания или по его завершении СПВБ может связаться с ЦП с помощью сигнала запроса прерывания.

Процессор может обращаться к памяти и устройствам ввода — вывода (УВВ), размещенным в системном пространстве адресов емкостью 1 Мбайт или в пространство ввода – вывода ёмкостью 64 Кбайт (рис 4.2). Хотя СПВВ располагает только одной физической шиной данных, удобно полагать, что в системное пространство он обращается по системной шине (СШ) данных,

 

 

.

Рис 3. Использование СШ и ШВВ в местной (а) и удалённой (б) конфигурации

Структура процессора ввода — вывода (рис 4) включает несколько функциональных узлов, соединённых 20-битовой внутренней шиной для получения максимальной скорости внутренних пересылок. (В отличие от 16-битовой внешней шины по внутренней шине осуществляются пересылки как 16-, так и 20- битовых значений адресов и данных.)

Общее устройство управления (УУ) координирует работу функциональных узлов процессора. Все операции (выполнение команд, циклы пересылки с ПДП, ответы на запрос готовности канала и др.), выполняемые СПВВ, распадаются на последовательности элементарных действий, которые называются внутренними циклами. Цикл шины, например, составляет один внутренний цикл; выполнение команды может потребовать нескольких внутренних циклов. Всего насчитывается 23 различных типа внутренних циклов, каждый из которых занимает от двух до восьми тактов CL K (без учета возможных состояний ожидания и времени на арбитраж шин). Общее УУ указывает для каждой операции, какой функциональный узел будет выполнять очередной внутренний цикл. Например, когда оба канала активны, общее УУ определяет, какой канал имеет более высокий приоритет, либо, если их приоритеты равны, осуществляет управление попеременной работой каналов. Кроме того, общее УУ осуществляет начальную инициализацию процессора, для чего используется программно недоступный регистр ССР — указатель блока параметров.

Рис 4. Укрупненная структурная схема СПВБ ВН69

Арифметическое логическое устройство (АЛУ) может выполнять беззнаковые арифметические операции над 8- и 16-битовыми двоичными числами, включающими сложение, инкремент и декремент. Результатом арифметических операций может быть 20 - битовое число. Логические операции, включая И, ИЛИ, НЕ, могут выполняться над 8- и 16-битовыми операндами.

Регистры сборки — разборки участвует при передаче всех данных, поступающих в процессор. Когда разрядность источника и приемника данных различаются, процессор использует эти регистры для обеспечения максимальной скорости передачи. Например, при пересылке с ПДП из 8- битового УВВ в 16-битовую память процессор затрачивает два цикла шины на прием двух последовательных байтов, “собирает” их в одно 16-битовое слово и передает его в память за один цикл шины. При передаче 16- битовых данных 8- битовому приемнику осуществляется его предварительная “разборка” на байты. Таким образом, наличие регистров сборки/разборки экономит циклы шины.

Очередь команд используется для повышения производительности процессора при выборке их из памяти. Во время выполнения программы каналом команды выбираются из памяти словами, размещёнными по чётному адресу

Рис. 5. Выборка команд с использованием очереди

младшего байта. На одну такую выборку затрачивается один цикл шины. Этот процесс показан на рис. 5. Если последний байт текущей команды Х приходится на чётный адрес, то следующий байт за ним байт из нечётного адреса (он является первым байтом команды Y) извлечённого слова в очереди. Когда канал начинает выполнять команду Y, этот байт из очереди извлекается значительно быстрее, чем из памяти. Таким образом, очередь команд размерностью всего один байт позволяет процессору при выборке команд всегда читать слова, что снижает загрузку шины, увеличивая ее пропускную способность и производительность СПВБ.

В двух исключительных случаях при извлечении команд процессор читает из памяти байты, а не слова. Во-первых, когда команда передачи управления (например, JMP, JNZ, CALL) указывает на нечётный адрес, по которому размещена команда, требующая исполнения. В этом случае первый байт команды извлекается отдельно. Во-вторых, когда встречается 6-байтовая команда LPDI, которая извлекается в следующем порядке: байт — слово — байт — байт — байт, и очередь не используется. Когда используется 8-битовая шина для передачи команд процессору, читаются только байты, а очередь не используется и каждая выборка требует одного цикла шины.

Блок шинного интерфейса (ВШИ) осуществляет управление и определяет циклы шины, связанные с выборкой команд и передачей данных между СПВВ и памятью или УВВ. Каждое обращение к шине связано с битом регистра этикеток (регистр TAG находится в каждом канале), который указывает, к какому пространству адресов (системному или ввода — вывода) относится обращение. БШИ выставляет тип цикла шины (выборка команды из пространства адресов ввода — вывода, запись данных в память системного пространства и т.д.) в виде кода состояния на выходах S2 — S0 (табл. 2). Системный контроллер К1810ВГ88 декодирует этот код, выбирая нужную шину (СШ/ ШВВ) и формируя соответствующую команду (чтение, запись и т.д.). Затем БШИ определяет соотношение между логической и физической шириной СШ и LLIBB. Физическая ширина каждой шины фиксирована в системе и сообщается процессору или его инициализации.

 

 

Код состояния S2S I SO Тип цикла шины
  Выборка команды из пространства ввода - вывода Чтение данных из пространства ввода - вывода Запись данных в пространство ввода - вывода Не используется Выборка команды из системного пространства Чтение данных из системного пространства Запись данных в системное пространство Пассивное состояние
   

Таблица 2.

В системной конфигурации обе шины (СШ и ШВВ) должны иметь одинаковую ширину: 8 или 16 бит, что определяется типом ЦП (ВМ86/ВМ88). В удаленной конфигурации СШ процессора ввода — вывода должна иметь ту же физическую ширину, что и СШ центрального процессора системы. Ширина ШВВ процессора ввода — вывода может быть выбрана независимо. Если в пространстве ввода — вывода используются какие-либо 16-битовые УВВ, должна использоваться 16- битовая ШВВ. Если в пространстве ввода — вывода все УВВ 8-битовые, то может быть выбрана 8- либо 16-битовая ШВВ. Преимущественно имеет 16- битовая ШВВ, поскольку она позволяет подключать к системе дополнительные 16-битовые УВВ, а также обеспечивает более эффективную выборку команд программы, размещенной в пространстве ввода — вывода.

Для ПДП-пересылки в программе канала задается логическая ширина СШ и ШВВ независимо для каждого канала. Логическая ширина 8-битовой физической шины может быть только 8- битовой, а для 16- битовой физической шины логическая ширина может быть задана 8- либо 16-битовой. Это позволяет обслуживать 8- и 16-битовые УВВ с помощью одной 16-битовой физической шины. В табл. 3 перечислены все возможные отношения между логической и физической шириной СШ и ШВВ в местной и удаленной конфигурации.

Таблица 3

Конфигурация Ширина СШ Ширина ШВВ
  физическая логическая физическая: логическая
Местная 8:8 8:  
  16: (8/16) 16: (6/16)
Удаленная 8:8 8:  
  16: (8/16) 16; (8/16)
  8:8 16: (8/16)
  16; (8/16) 8:  

Логическая ширина шины учитывается только при ПДП - пересылках. Извлечение команд, а также запись и чтение операндов осуществляются словами или байтами только в зависимости от физической ширины шины.

Наряду с управлением пересылками команд и данных блок шинного интерфейса осуществляет арбитраж локальных шин. В местной конфигурации БШИ

использует линию RQ/GT для запроса шины у ЦП и ее возвращения после использования, в удаленной конфигурации — для координации совместного использования локальной ШВВ с другими процессорами ВМ89 или локальным ЦП ВМ86, если они имеются. Арбитраж СШ в удаленной конфигурации осуществляется арбитром К1810ВБ89. В тех случаях, когда необходимо монополизировать СШ, блок шинного интерфейса формирует нулевой активный сигнал LOCK. Это бывает в двух случаях: 1) когда канал выполняет команду TSL (Test and Set Lock — проверка с монополизацией);

2) когда в программе канала есть указание активизировать LOCK на время ПДП- пересылки.



Поделиться:


Последнее изменение этой страницы: 2016-12-17; просмотров: 255; Нарушение авторского права страницы; Мы поможем в написании вашей работы!

infopedia.su Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. Обратная связь - 3.145.178.240 (0.068 с.)