Активные и пассивные матрицы 


Мы поможем в написании ваших работ!



ЗНАЕТЕ ЛИ ВЫ?

Активные и пассивные матрицы



Термин «пассивная матрица» (passivematrix) относится к такому конструктивному решению монитора, согласно которому монитор разделен на отдельные ячейки, каждая из которых функционирует независимо от остальных, так что в результате каждый такой элемент может быть подсвечен индивидуально для создания изображения.

Пассивные матрицы мониторов не могут обеспечить быстродействие при отображении информации на экране. Изображение формируется строка за строкой путем последовательного подвода управляющего напряжения на отдельные ячейки. Вследствие достаточно большой электрической емкости отдельных ячеек напряжение на них не может изменяться весьма быстро, поэтому изображение не отображается плавно и дрожит на экране. При этом между соседними электродами возникает некоторое взаимное влияние, которое может проявляться в виде колец на экране.

В активной матрице используются отдельные усилительные элементы для каждой ячейки экрана, компенсирующие влияние емкости ячеек и позволяющие значительно увеличить быстродействие.

Функциональные возможности ЖК монитор ов с активной матрицей почти такие же, как у дисплеев с пассивной матрицей. Разница заключается в матрице электродов, которая управляет ячейками жидких кристаллов дисплея. В случае с пассивной матрицей разные электроды получают электрический заряд циклическим методом при построчной регенерации дисплея, а в результате разряда емкостей элементов изображение исчезает, так как кристаллы возвращаются к своей изначальной конфигурации. В случае с активной матрицей к каждому электроду добавлен запоминающий транзистор, который может хранить цифровую информацию (двоичные значения «0» или «1»), и в результате изображение сохраняется до тех пор, пока не поступит другой сигнал. Такой транзистор, выполняя роль коммутирующего ключа, позволяет коммутировать более высокое (до десятков вольт) напряжение, используя сигнал низкого уровня (около 0.7 В). Благодаря применению активных ЖК-ячеек стало возможным значительно снизить уровень сигнала управления и тем самым решить проблему частичной засветки соседних ячеек.

20) Флэш-Память. Типы транзисторов, плавающий затвор

Флеш-память (англ. flash memory) — разновидностьполупроводниковой технологии электрически перепрограммируемой памяти (EEPROM). Это же слово используется в электронной схемотехнике для обозначения технологически законченных решений постоянных запоминающих устройств в виде микросхем на базе этой полупроводниковой технологии. В быту это словосочетание закрепилось за широким классомтвердотельных устройств храненияинформации.


Благодаря компактности, дешевизне, механической прочности, большому объёму, скорости работы и низкому энергопотреблению, флеш-память широко используется в цифровых портативных устройствах и носителях информации. Серьёзным недостатком данной технологии является ограниченный срок эксплуатации носителей,[1][2] а также чувствительность к электростатическому разряду.

·

Разрез транзистора с плавающим затвором

 

·

Программирование флеш-памяти

 

·

Стирание флеш-памяти

NOR- и NAND-приборы[править | править исходный текст]

Различаются методом соединения ячеек в массив и алгоритмами чтения-записи.

Конструкция NOR использует классическую двумерную матрицу проводников, в которой на пересечении строк и столбцов установлено по одной ячейке. При этом проводник строк подключался к стоку транзистора, а столбцов — ко второму затвору. Исток подключался к общей для всех подложке. В такой конструкции было легко считать состояние конкретного транзистора, подав положительное напряжение на один столбец и одну строку.

Конструкция NAND — трёхмерный массив. В основе та же самая матрица, что и в NOR, но вместо одного транзистора в каждом пересечении устанавливается столбец из последовательно включенных ячеек. В такой конструкции получается много затворных цепей в одном пересечении. Плотность компоновки можно резко увеличить (ведь к одной ячейке в столбце подходит только один проводник затвора), однако алгоритм доступа к ячейкам для чтения и записи заметно усложняется.

Технология NOR позволяет получить быстрый доступ индивидуально к каждой ячейке, однако площадь ячейки велика. Наоборот, NAND имеют малую площадь ячейки, но относительно длительный доступ сразу к большой группе ячеек. Соответственно, различается область применения: NOR используется как непосредственная памятьпрограмм микропроцессоров и для хранения небольших вспомогательных данных.

Названия NOR и NAND произошли от ассоциации схемы включения ячеек в массив со схемотехникой микросхем КМОП-логики.

Существовали и другие варианты объединения ячеек в массив, но они не прижились.

·

Компоновка шести ячеек NOR flash

 

·

Структура одного столбца NAND flash

SLC- и MLC-приборы[править | править исходный текст]

Различают приборы, в которых элементарная ячейка хранит один бит информации и несколько бит. В однобитовых ячейках различают только два уровня заряда на плавающем затворе. Такие ячейки называют одноуровневыми (single-level cell, SLC). В многобитовых ячейках различают больше уровней заряда; их называют многоуровневыми (multi-level cell, MLC [4][5]). MLC-приборы дешевле и более ёмкие, чем SLC-приборы, однако с большим временем доступа и меньшим максимальным количеством перезаписей.

Обычно под MLC понимают память с 4 уровнями заряда (2 бита) на каждую ячейку, память с 8 уровнями (3 бита) иногда называют TLC (Triple Level Cell)[4][5] или 3bit MLC [6], с 16 уровнями (4 бита) — 16LC.[7]

Аудиопамять[править | править исходный текст]

Естественным развитием идеи MLC ячеек была мысль записать в ячейку аналоговый сигнал. Наибольшее применение такие аналоговые флеш-микросхемы получили в воспроизведении звука. Такие микросхемы получили широкое распространение во всевозможных игрушках, звуковых открытках и т. д.[8]

Многокристальные микросхемы[править | править исходный текст]

Часто[ источник не указан 150 дней ] в одну микросхему флеш-памяти упаковывается несколько полупроводниковых пластин (кристаллов), до 8-16 штук.[9]

Технологические ограничения[править | править исходный текст]

Запись и чтение ячеек различаются в энергопотреблении: устройства флеш-памяти потребляют большой ток при записи для формирования высоких напряжений, тогда как при чтении затраты энергии относительно малы.

Ресурс записи[править | править исходный текст]

Изменение заряда сопряжено с накоплением необратимых изменений в структуре и потому количество записей для ячейки флеш-памяти ограничено (обычно до 10 тыс. раз для MLC-устройств и до 100 тыс. раз для SLC-устройств).

Одна из причин деградации — невозможность индивидуально контролировать заряд плавающего затвора в каждой ячейке. Дело в том, что запись и стирание производятся над множеством ячеек одновременно — это неотъемлемое свойство технологии флеш-памяти. Автомат записи контролирует достаточность инжекции заряда по референсной ячейке или по средней величине. Постепенно заряд отдельных ячеек рассогласовывается и в некоторый момент выходит за допустимые границы, которые может скомпенсировать инжекцией автомат записи и воспринять устройство чтения. Понятно, что на ресурс влияет степень идентичности ячеек. Одно из следствий этого — с уменьшением топологических норм полупроводниковой технологии создавать идентичные элементы все труднее, поэтому вопрос ресурса записи становится все острее.

Другая причина — взаимная диффузия атомов изолирующих и проводящих областей полупроводниковой структуры, ускоренная градиентом электрического поля в области кармана и периодическими электрическими пробоями изолятора при записи и стирании. Это приводит к размыванию границ и ухудшению качества изолятора, уменьшению времени хранения заряда.

Идут исследования технологии восстановления ячейки флеш-памяти путём локального нагрева изолятора затвора до 800°С в течение нескольких миллисекунд.[10]

Срок хранения данных[править | править исходный текст]

Изоляция кармана неидеальна, заряд постепенно изменяется. Срок хранения заряда, заявляемый большинством производителей для бытовых изделий, не превышает 10—20 лет,[ источник не указан 64 дня ]хотя гарантия на носители дается не более чем на 5 лет. При этом память MLC имеет меньшие сроки, чем SLC.

Специфические внешние условия, например, повышенные температуры или радиационное облучение (гамма-радиация и частицы высоких энергий), могут катастрофически сократить срок хранения данных.

У современных микросхем NAND при чтении возможно повреждение данных на соседних страницах в пределах блока. Осуществление большого числа (сотни тысяч и более) операций чтения без перезаписи может ускорить возникновение ошибки.[11]

По данным Dell, длительность хранения данных на SSD, отключенных от питания, сильно зависит от количества прошедших циклов перезаписи (P/E) и от типа флеш-памяти и в худших случаях может составлять 3-6 месяцев.[12]

Иерархическая структура[править | править исходный текст]

Стирание, запись и чтение флеш-памяти всегда происходит относительно крупными блоками разного размера, при этом размер блока стирания всегда больше чем блок записи, а размер блока записи не меньше, чем размер блока чтения. Собственно, это — характерный отличительный признак флеш-памяти по отношению к классической памяти EEPROM.

Как следствие — все микросхемы флеш-памяти имеют ярко выраженную иерархическую структуру. Память разбивается на блоки, блоки состоят из секторов, секторы из страниц. В зависимости от назначения конкретной микросхемы глубина иерархии и размер элементов может меняться.

Например, NAND-микросхема может иметь размер стираемого блока в сотни кбайт, размер страницы записи и чтения 4 кбайт. Для NOR-микросхем размер стираемого блока варьируется от единиц до сотен кбайт, размер сектора записи — до сотен байт, страницы чтения — единицы-десятки байт.

Скорость чтения и записи[править | править исходный текст]

Скорость стирания варьируется от единиц до сотен миллисекунд в зависимости от размера стираемого блока. Скорость записи — десятки-сотни микросекунд.

Обычно скорость чтения для NOR-микросхем нормируется в десятки наносекунд. Для NAND-микросхем скорость чтения десятки микросекунд.

Особенности применения[править | править исходный текст]

Стремление достичь предельных значений емкости для NAND-устройств привело к «стандартизации брака» — праву выпускать и продавать микросхемы с некоторым процентом бракованных ячеек и без гарантии непоявления новых «bad-блоков» в процессе эксплуатации. Чтобы минимизировать потери данных, каждая страница памяти снабжается небольшим дополнительным блоком, в котором записывается контрольная сумма, информация для восстановления при одиночных битовых ошибках, информация о сбойных элементах на этой странице и количестве записей на эту страницу.

Сложность алгоритмов чтения и допустимость наличия некоторого количества бракованных ячеек вынудило разработчиков оснастить NAND-микросхемы памяти специфическим командным интерфейсом. Это означает, что нужно сначала подать специальную команду переноса указанной страницы памяти в специальный буфер внутри микросхемы, дождаться окончания этой операции, считать буфер, проверить целостность данных и, при необходимости, попытаться восстановить их.

Слабое место флеш-памяти — количество циклов перезаписи в одной странице. Ситуация ухудшается также в связи с тем, что стандартныефайловые системы — то есть стандартные системы управления файлами для широко распространенных файловых систем — часто записывают данные в одно и то же место. Часто обновляется корневой каталог файловой системы, так что первые секторы памяти израсходуют свой запас значительно раньше. Распределение нагрузки позволит существенно продлить срок работы памяти. Подробнее про задачу равномерного распределения износа[13] см.: Wear leveling (англ.).

Подробнее о проблемах управления NAND-памятью, вызванных разным размером страниц стирания и записи см.: Write amplification (англ.).

NAND-контроллеры[править | править исходный текст]

Для упрощения применения микросхем флеш-памяти NAND-типа они используются совместно со специальными микросхемами — NAND-контроллерами. Эти контроллеры должны выполнять всю черновую работу по обслуживанию NAND-памяти: преобразование интерфейсов и протоколов, виртуализация адресации (с целью обхода сбойных ячеек), проверка и восстановление данных при чтении, забота о разном размере блоков стирания и записи, забота о периодическом обновлении записанных блоков (есть и такое требование), равномерное распределение нагрузки на секторы при записи.

Однако задача равномерного распределения износа не обязательна, что зачастую приводит к экономии в дешевых изделиях. Такие флеш-карты памяти и USB-брелки быстро выйдут из строя при частой перезаписи. Если вам нужно часто записывать на флешку — старайтесь брать дорогие изделия с SLC-памятью и качественными контроллерами, а также старайтесь минимизировать запись в корневую директорию.

На дорогие NAND-контроллеры также может возлагаться задача «ускорения» микросхем флеш-памяти путем распределения данных одного файла по нескольким микросхемам. Время записи и чтения файла при этом сильно уменьшается.

Подробнее по этой теме см.: en:Flash memory controller.

Специальные файловые системы[править | править исходный текст]

Зачастую флеш-память подключается в устройстве напрямую — без контроллера. В этом случае задачи контроллера должен выполнять программный NAND-драйвер в операционной системе. Чтобы не выполнять избыточную работу по равномерному распределению записи по страницам, стараются эксплуатировать такие носители соспециальными файловыми системами: JFFS2[14] и YAFFS[15] для Linuxи др.

См. также: TRIM

Применение[править | править исходный текст]

Существует два основных применения флеш-памяти: как мобильный носитель информации и как хранилище программного обеспечения («прошивки») цифровых устройств. Зачастую эти два применения совмещаются в одном устройстве.

Флеш-память позволяет обновлять прошивку устройств в процессе эксплуатации.

NOR[править | править исходный текст]

Применение NOR-флеши, устройства энергонезависимой памяти относительно небольшого объёма, требующие быстрого доступа по случайным адресам и с гарантией отсутствия сбойных элементов:

· Встраиваемая память программ однокристальных микроконтроллеров. Типовые объёмы — от 1 кбайта до 1 Мбайта.

· Стандартные микросхемы ПЗУ произвольного доступа для работы вместе с микропроцессором.

· Специализированные микросхемы начальной загрузки компьютеров (POST и BIOS), процессоров ЦОС и программируемой логики. Типовые объёмы — единицы и десятки мегабайт.

· Микросхемы хранения среднего размера данных, напримерDataFlash. Обычно снабжаются интерфейсом SPI и упаковываются в миниатюрные корпуса. Типовые объёмы — от сотен кбайт до технологического максимума.

NAND[править | править исходный текст]

Флеш-карты разных типов (спичка для сравнения масштабов)

Там, где требуются рекордные объёмы памяти — NAND-флеш вне конкуренции.

В первую очередь — это всевозможные мобильные носители данных и устройства, требующие для работы больших объёмов хранения. В основном, это USB-брелоки и карты памятивсех типов, а также мобильные медиаплееры.

Флеш-память типа NAND позволила миниатюризировать и удешевить вычислительные платформы на базе стандартных операционных систем с развитым программным обеспечением. Их стали встраивать во множество бытовых приборов: сотовые телефоны и телевизоры, сетевые маршрутизаторы и точки доступа, медиаплееры и игровые приставки, фоторамки и навигаторы.

Высокая скорость чтения делает NAND-память привлекательной для кэширования винчестеров. При этом часто используемые данные операционная система хранит на относительно небольшом твердотельном устройстве, а данные общего назначения записывает на дисковый накопитель большого объёма.[16]

Благодаря большой скорости, объёму и компактным размерам, NAND-память активно вытесняет из обращения носители других типов. Сначала исчезли дискеты и дисководы гибких магнитных дисков[17], ушли в небытие накопители на магнитной ленте. Магнитные носителипрактически полностью вытеснены из мобильных и медиаприменений.

Стандартизацией применения чипов флеш-памяти типа NAND занимается Open NAND Flash Interface Working Group (ONFI). Текущим стандартом считается спецификация ONFI версии 1.0[18], выпущенная 28 декабря 2006 года. Группа ONFI поддерживается конкурентами Samsung и Toshiba в производстве NAND-чипов: Intel, Hynix и Micron Technology.[19]


22) Микросхемы ПЛИС (Организация, принцип работы)

Программи́руемая логи́ческая интегра́льная схе́ма (ПЛИС, англ. programmable logic device, PLD) — электронный компонент, используемый для создания цифровых интегральных схем. В отличие от обычных цифровых микросхем, логика работы ПЛИС не определяется при изготовлении, а задаётся посредством программирования (проектирования). Для программирования используются программаторы и отладочные среды, позволяющие задать желаемую структуру цифрового устройства в виде принципиальной электрической схемы или программы на специальных языках описания аппаратуры:Verilog, VHDL, AHDL и др. Альтернативой ПЛИС являются: программируемые логические контроллеры (ПЛК), базовые матричные кристаллы (БМК), требующие заводского производственного процесса для программирования; ASIC — специализированные заказные большие интегральные схемы(БИС), которые при мелкосерийном и единичном производстве существенно дороже; специализированные компьютеры, процессоры (например, цифровой сигнальный процессор) или микроконтроллеры, которые из-за программного способа реализации алгоритмов в работе медленнее ПЛИС.

Некоторые производители ПЛИС предлагают программные процессоры для своих ПЛИС, которые могут быть модифицированы под конкретную задачу, а затем встроены в ПЛИС. Тем самым обеспечивается уменьшение места на печатной плате и упрощение проектирования самой ПЛИС, за счёт быстродействия.

Некоторые сферы применения[править | править исходный текст]

ПЛИС широко используется для построения различных по сложности и по возможностям цифровых устройств.

Это приложения, где необходимо большое количество портов ввода-вывода (бывают ПЛИС с более чем 1000 выводов («пинов»)), цифровая обработка сигнала (ЦОС), цифровая видеоаудиоаппаратура, высокоскоростная передача данных, криптография, проектирование и прототипирование ASIC, в качестве мостов (коммутаторов) между системами с различной логикой и напряжением питания, реализациянейрочипов, моделирование квантовых вычислений.

В современных периферийных и основных компьютерных устройствах платы расширения в системе Plug and Play имеют специальную микросхему — ПЛИС, которая позволяет плате сообщать свой идентификатор и список требуемых и поддерживаемых ресурсов.

Типы ПЛИС[править | править исходный текст]

Ранние ПЛИС[править | править исходный текст]

В 1970 году компания Texas Instruments разработала маскируемые (программируемые с помощью маски, англ. mask-programmable) ИС основанные на ассоциативном ПЗУ (ROAM) фирмы IBM. Эта микросхема, TMS2000, программировалась чередованием металлических слоёв в процессе производства ИС. TMS2000 имела до 17 входов и 18 выходов с 8-ю JK-триггерами в качестве памяти. Для этих устройств компания TI ввела термин Programmable Logic Array(PLA) — программируемая логическая матрица.

PAL[править | править исходный текст]

Основная статья: PAL (ПЛИС)

Этот раздел не завершён. Вы поможете проекту, исправив и дополнив его.  

PAL (англ. Programmable Array Logic) — программируемый массив (матрица) логики. В СССР PLA и PLM не различались и обозначились как ПЛМ. Разница между ними состоит в доступности программирования внутренней структуры (матриц) ПЛМ.

GAL[править | править исходный текст]

Основная статья: GAL

Этот раздел не завершён. Вы поможете проекту, исправив и дополнив его.  

GAL (Gate Array Logic) - это ПЛИС, имеющие программируемую матрицу "И" и фиксированную матрицу "ИЛИ".

CPLD[править | править исходный текст]

Основная статья: CPLD

CPLD (англ. complex programmable logic device — сложные программируемые логические устройства) содержат относительно крупные программируемые логические блоки — макроячейки, соединённые с внешними выводами и внутренними шинами. Функциональность CPLD кодируется в энергонезависимой памяти, поэтому нет необходимости их перепрограммировать при включении. Может применяться для расширения числа входов/выходов рядом с большими кристаллами, или для предобработки сигналов (например, контроллер COM-порта, USB, VGA).

FPGA[править | править исходный текст]

Основная статья: FPGA

FPGA (англ. field-programmable gate array) содержат блоки умножения-суммирования, которые широко применяются при обработке сигналов (DSP), а также логические элементы (как правило, на базе таблиц перекодировки — таблиц истинности) и их блоки коммутации. FPGA обычно используются для обработки сигналов, имеют больше логических элементов и более гибкую архитектуру, чем CPLD. Программа для FPGA хранится в распределённой памяти, которая может быть выполнена как на основе энергозависимых ячеек статического ОЗУ (подобные микросхемы производят, например, фирмы Xilinx и Altera) — в этом случае программа не сохраняется при исчезновении электропитания микросхемы, так и на основе энергонезависимых ячеек Flash-памяти или перемычек antifuse (такие микросхемы производит фирма Actel и Lattice Semiconductor) — в этих случаях программа сохраняется при исчезновении электропитания. Если программа хранится в энергозависимой памяти, то при каждом включении питания микросхемы необходимо заново конфигурировать её при помощи начального загрузчика, который может быть встроен и в саму FPGA. Альтернативой ПЛИС FPGA являются более медленные цифровые процессоры обработки сигналов. FPGA применяются также, как ускорители универсальных процессоров в суперкомпьютерах (например: Cray — XD1, SGI — Проект RASC).

23) Аналогово-Цифровые преобразователи и Цифро-Аналоговые Преобразователи

Простейшим по пониманию принципов работы (но отнюдь не по внутреннему устройству) является параллельный аналого-цифровой преобразователь (flash ADC). Рассмотрим его работу на примере схемы трехразрядного параллельного АЦП, приведенной на рисунке 1.


Рисунок 1. Принципиальная схема трехразрядного параллельного АЦП

В этой схеме аналоговый сигнал U вх подается на соответствующий вход АЦП. Одновременно на другой его вход подается опорное напряжение UREF. Это напряжение при помощи резистивного делителя, состоящего из резисторов с одинаковым сопротивлением, делится на семь одинаковых уровней.

Основой параллельного аналогоцифрового преобразователя являются семь аналоговых компараторов, которые сравнивают входной сигнал АЦП с опорным напряжением, подаваемым на их второй вход. Если напряжение на входе компаратора превышает напряжение на его инвертирующем входе, то на выходе компаратора формируется напряжение логической единицы. Аналоговые компараторы по внутреннему устройству очень похожи на операционные услилители с дифференциальным входом. Отличием является наличие цифрового выходного каскада (с ТТЛ или ЭСЛ логическими уровнями).

Если напряжение на входе аналого-цифрового преобразователя меньше всех напряжений, подаваемых на опорные (инвертирующие) входы компараторов, то на всех выходах компараторов формируются нулевые уровни сигналов. Код на выходе линейки компараторов будет равен 0000000b.

Постепенно повышая уровень входного сигнала можно превысить напряжение на опорном входе нижнего компаратора. В этом случае на его выходе сформируется уровень логической единицы. Код на выходе линейки компараторов примет значение 0000001. При дальнейшем увеличении уровня сигнала на входе параллельного АЦП код будет принимать значения 0000011, 0000111, и так далее. Максимальное значение кода 1111111 будет выдано на выходе линейки компараторов параллельного аналого-цифрового преобразователя при превышении входным сигналом значения сигнала на опорном входе самого верхнего компаратора.

Итак, мы достигли напряжения полной шкалы аналого-цифрового преобразователя (АЦП). Однако, как вы заметили, код, получаемый на выходе линейки компараторов состоит из нулей и единиц, но не является при этом двоичным, поэтому для его приведения к двоичному виду потребуется специальная цифровая схема — преобразователь кодов (шифратор).

____________________________________________________________

Триггер Шмитта представляет собой RS-триггер, управляемый одним входным аналоговым сигналом, с двумя разными напряжениями переключения в "1" и в "0", причём, напряжение переключения в "1" выше напряжения переключения в "0".

Простые реализации (быстродействующие, без обратной связи) состоят из RS-триггера и троичного компаратора на входе RS-триггера, в котором два напряжения сравнения, для переключения в "0" и для переключения в "1", устанавливаются раздельно

Триггер Шмидта

Триггер Шмидта - это специфический вид триггера, имеющего один вход и один выход. Такой триггер Еще называют нессиметричным. В триггере Шмидта переход из одного устойчивого состояния в другое осуществляется при определенных уровнях входного напряжения, называемых пороговыми уровнями. Триггер Шмидта изображен ниже.



Рис. 6 Триггер Шмидта и графики, поясняющие принцип его работы

Если на вход триггера Шмидта подавать нарастающее напряжение (нижний график), то при некотором уровне Uп1в момент t1напряжение на выходе скачком переходит из состояния 0 в состояние 1. Если уменьшать напряжение на входе до некоторого напряжения Uп2в момент t2напряжение на выходе скачком переходит из состояния 1 в состояние 0. Явление несовпадения уровней Uп1и Uп2называется гистерезисом. Соответственно, передаточная характеристика триггера Шмидта обладает гистерезисным характером. Триггер Шмидта, в отличие от других триггеров, не обладает памятью и используется для формирования прямоугольных импульсов из напряжения произвольной формы.

 

Наиболее распространенным видом аналого-цифровых преобразователей в настоящее время являются АЦП последовательного приближения (SAR ADC). Эти преобразователи позволяют в течение одного периода тактового сигнала получить один двоичный разряд.

При измерении каких-либо объектов мы обычно последовательно увеличиваем точность измерения. Например, при измерении длины мы сначала определяем ее в метрах, затем добавляем к полученному значению остающиеся десятки сантиметров, потом остаток в сантиметрах и т.д. То есть при каждом последующем измерении точность увеличивается на один десятичный разряд. Подобным образом можно проводить измерения и в двоичной системе счисления. В этом случае каждый раз точность измерения будет возрастать ровно в два раза. Подобный процесс измерения напряжения иллюстрируется рисунком 1.


Рисунок 1. Временные диаграммы напряжений на входах компаратора АЦП последовательного приближения

При измерении неизвестного расстояния оно сравнивается с эталоном длины — линейкой. Но где же взять эталонные напряжения? Для этого можно воспользоваться цифро-аналоговым преобразователем. Если на его вход подавать цифровые коды, то на его выходе будут появляться напряжения, соответствующие этим цифровым кодам. Для формирования необходимых для измерения цифровых кодов служит специальная схема, называемая регистром последовательного приближения.

Для сравнения неизвестного напряжения, поступающего с выхода устройства выборки и хранения, с эталонными напряжениями, поступающими с выхода цифро-аналогового преобразователя, воспользуемся уже известным нам аналоговым компаратором. Структурная схема аналого-цифрового преобразователя последовательного приближения приведена на рисунке 2.


Рисунок 2. Структурная схема АЦП последовательного приближения

В первый момент времени после поступления первого тактового импульса на выходе регистра последовательного приближения формируется код половины полной шкалы преобразователя. Этот код соответствует двоичному числу 01111111. При подаче этого кода на входы цифро-аналогового преобразователя на его выходе появится напряжение, соответствующее половине полной шкалы входных напряжений (или, что то же самое, половине опорного напряжения Uоп, подаваемого на соответствующий вход цифро-аналогового преобразователя).

При поступлении следующих тактовых импульсов этот код будет сдвигаться вправо, обеспечивая тем самым уменьшение веса разрядов ровно вдвое. Таким образом, если после первого тактового импульса на выходе цифро-аналогового преобразователя присутствует половина полной шкалы, то после второго тактового импульса там будет присутствовать четверть, затем одна восьмая часть полной шкалы, и так далее.

В примере, приведенном на рисунке 1, измеряемое напряжение превышает значение половины полной шкалы АЦП, а значит, на выходе аналогового компаратора появится уровень логической единицы. При поступлении второго тактового импульса этот сигнал запишется в старший разряд регистра последовательного приближения. В результате на выходе этого регистра появится код 10111111, а значит, напряжение на выходе ЦАП станет равным 3/4 от напряжения полной шкалы. Если бы напряжение на выходе УВХ оказалось меньше напряжения, поступающего с выхода ЦАП, то на выходе компаратора появился бы нулевой потенциал, и в регистр последовательного приближения был бы записан код 00111111, а значит, на выходе ЦАП сформировалось бы напряжение 1/2 от напряжения полной шкалы.

В примере, приведенном на рисунке 1, напряжение на выходе ЦАП при втором измерении превысит напряжение с выхода УВХ, поэтому на выходе компаратора появится нулевой уровень. При поступлении третьего тактового импульса этот сигнал запишется во второй разряд регистра последовательного приближения, поэтому код на его выходе станет равным 10011111. На этот раз напряжение на выходе ЦАП уменьшится на 1/8 Uоп от предыдущего значения.

Итак, на вход регистра последовательного приближения поступило три тактовых импульса, и мы получили два разряда цифрового кода. После поступления на вход регистра последовательного приближения девяти тактовых импульсов мы получим полный 8-разрядный двоичный код, соответствующий входному напряжению. В примере, приведенном на рисунке 1, этот код равен 10101000.

После завершения преобразования, на управляющем выходе регистра последовательного приближения появляется нулевой потенциал, показывающий, что преобразование закончено.

Итак, для полного преобразования аналогового сигнала в цифровую форму АЦП последовательного приближения требуется, как минимум, N+1 тактовых импульсов (один такт на выдачу половинного напряжения и N тактов для получения N двоичных разрядов).

АЦП последовательного приближения могут работать как в режиме одиночного преобразования, так и в режиме создания непрерывного потока данных. На рисунке 2 этот аналого-цифровой преобразователь включен в режиме непрерывного преобразования входного сигнала. В этом режиме тактовая частота должна подаваться от высокостабильного генератора.

Если требуется производить одиночное аналого-цифровое преобразование в определенные моменты времени, то обратная связь с выхода готовности на вход запуска регистра последовательного приближения разрывается и преобразование начинается сразу же после поступления импульса на вход запуска. В этом случае высокой стабильности от генератора тактовой частоты не требуется.

АЦП последовательного приближения используются на частотах преобразования от единиц килогерц до десятков мегагерц. При этом удается достигнуть точности преобразования до 18 двоичных разрядов.

________________________________________________

Принцип преобразования АЦП следящего типа основан на непрерывном слежении с помощью реверсивного счётчика. Код, вырабатываемый счётчиком, преобразуется в аналоговый сигнал и сравнивается с помощью компаратора. Результат сравнения управляет инкрементированием или декрементированием кода.



Поделиться:


Последнее изменение этой страницы: 2016-07-16; просмотров: 689; Нарушение авторского права страницы; Мы поможем в написании вашей работы!

infopedia.su Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. Обратная связь - 18.116.40.177 (0.079 с.)