Перенос проекта в Xilinx Vivado 


Мы поможем в написании ваших работ!



ЗНАЕТЕ ЛИ ВЫ?

Перенос проекта в Xilinx Vivado



Перенос проекта начинается со стандартных действий, а именно – создание проекта в среде Xilinx Vivado, но при выборе типа проекта (рисунок 1.2) необходимо указать, что это будет импорт проекта из другой среды (Imported Project).

Когда программа попросит указать путь к проекту Xilinx ISE, необходимо указать на файл с расширением «.xise», находящийся в папке проекта (рисунок 2.11).

 

Рисунок 2.11 – Меню импорта проекта

После успешного импорта проекта можно просмотреть лог. В данной работе, для наглядности произведем запуск симуляции еще раз (рисунок 2.12).

 

Рисунок 2.12 – Симуляция импортированного проекта из среды Xilinx ISE

 

Так же можно просмотреть схему модуля, воспользовавшись меню «Flow Navigator», в разделе «RTL Analysis».

 

Рисунок 2.13 – Схема модуля на основе импортированного кода

 


 

ЗАКЛЮЧЕНИЕ

В ходе выполнения работы были изучены среды программирования Vivado и ISE от фирмы Xilinx.

С помощью САПР Vivado была построена модель работы дешифратора.

С помощью САПР ISE, была построена модель работы асинхронного интерфейса RS-232, состоящего из таких модулей, как: дешифратор, мультиплексор, счетчик, буфер. Затем, данный проект был импортирован в среду Xilinx Vivado.

Был получен ценный опыт написания тестовых модулей и проверки с их помощью работоспособности моделей, а также синтезирования готовых схем на основе готового кода.


 

СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ

1. Основы HDL Verilog как средства проектирования цифровых устройств [интернет-источник] https://emirs.miet.ru/oroks-miet/upload/POPOVA.pdf (дата обращения 10.05.2019).

2. Основы верификации и отладки ПЛИС [интернет-источник] https://www.macrogroup.ru/sites/default/files/uploads/files_and_docs/prezent/otladka_plis_webinar.pdf (дата обращения 10.05.2019).

 

 


 


ПРИЛОЖЕНИЕ А

ТЕКСТ ПРОГРАММЫ ДЕШИФРАТОРА

И ТЕСТОВОГО МОДУЛЯ

 


module Decoder _1(

input [2:0] A, //трехразрядная шина входа А

Input EN, //разрешающий вход

output [7:0] X //восьмиразрядный выход X

);

//входы и выходы по умолчанию объявлены как переменные типа wire

reg [7:0] X; //выход хранит значения, по этому должен быть типа reg

always @* //при любом изменении сигналов

Begin

//только при разрешении работы

If (EN)

Begin

X =0; //обнулить шину

X [ A ]=1; //А-тому разряду положить "1"

End

End

Endmodule


module Testbench_1;

//!Тестбенч не имеет входных и выходных параметров.

//После объявления модуля описываются переменные

//с которыми работает тестбенч

reg [2:0] A;

reg EN;

wire [7:0] X;

//зетем объявляется объект класса decoder

Decoder _1 dc _1(A, EN, X);

Initial

Begin

A =2;//подача сигнала 2(010) на шину A

EN =1;//сигнал разрешения (или 0, или 1)

#5; // длительность сигнала (5 нс)

A=3;

#4;

A=7;

#9;

EN=0;

A=0;

#7

EN=1;

#6

A=5;

End

Endmodule



ПРИЛОЖЕНИЕ Б



Поделиться:


Последнее изменение этой страницы: 2019-08-19; просмотров: 778; Нарушение авторского права страницы; Мы поможем в написании вашей работы!

infopedia.su Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. Обратная связь - 3.22.181.209 (0.007 с.)